OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components/coregen/ctrl_fifo512x64st_v0.ngc
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2:044<,[o}e~g`n;"2*732(-80!<?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1;:41=7IJ<:7<?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=6<>2:11>LHW]]0OEL2<1;2=57=4:3CE\XZ5DH@?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:47<<3CE\XZ5DHRB86<768;087GAPTV9@LVE4:0;2<>44;KMTPR=_LK7?7>11197>LHW]]0\IO2<:1<26>2=G\^[YY4KOQC?7?699;1?6B[[PTV9@JVE4:0;2<5913950?OIX\^1hd`36283:42<>=0DYY^ZT;flqq:1;3:5>68:f:4ph}22|oml85:"309;0>>5<8>049<>1:;7?<67182057AZTQWW>agsiVidycz38;2=6>G1<2KJ:L64A@CB2DGF991JMLONA@C5EDGFIHK37LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;d<IMNYM1?<:1<:?DBCZH6:?364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<a?DBCZK6:?7>19:CG@WD;9:437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::6=FDE30M^WAC^PFC==E]ZUBBKA>;B08G@753JBNOFQCIBGMW@YSQYO97NG;;BNH43=DDB;3<;5LLJ0440=DDB8S46MCK3Z2<53<KEA2<95LLJC6?FJLI8=0OAEN1E04?FJLI8N?;6MCK@3G<1=DDBH>7NBDB078GIMD9<1H@FJ>5:AOOAPd3JF@H[QKIWKGA0=DDBLS46MCKGZ2<55<KEX27NABMHVWAA733JEYIRIJNDPBPLIIW@DMC:5LRDCWAA7<L;1O4n5KAUC\SLBS@VKh7IO[A^UJ@QNXJ;1OE;5KI@>3:2=CAH6:<394DHC?54803MCJ0<<17:FJE9746>1OEL2>4?58@LG;9<4<7IGN<04=3>BNI5;<2:5KI@>2<;1<L@K7=409;EKB84803MCJ0?>17:FJE9466>1OEL2=2?58@LG;::4<7IGN<36=3>BNI58>2:5KI@>12;1<L@K7>:08;EKB87>9?2NBM1<6>79GMD:56>1OEL2<0?;8@LG;;80;2:5KI@>05;0<L@K7?384DHC?0;0<L@K79384DHC?2;0<L@K7;384DHC?<;0<L@K75384DH@?4;1<L@H7==08;EKA8479?2NBN1?=>69GMG:6;7=0HDL315<4?AOE48?5;6JFB=35:2=CAK6:;394DH@?5=803MCI0<716:FJF979?2NBN1<?>69GMG:597=0HDL323<4?AOE4;95;6JFB=07:2=CAK699394DH@?63803MCI0?917:FJF94?6>1OEO2=9?48@LD;:7=0HDL331<:?AOE4:;1<394DH@?74813MCI0>09;EKA81813MCI0809;EKA83813MCI0:09;EKA8=813MCI0408;EKSE969?2NB\L2>>69GMUG;:730HD^N<283:2=CAYK7?394DHRA85803MC[N1?17:FJTG:5601OE]L33;2=3>BNXK682;5KO@>3:2=CGH6:<394DNC?54803MEJ0<<17:FLE9746>1OCL2>4?58@JG;9<4<7IAN<04=3>BHI5;<2:5KO@>2<;1<LFK7=409;EMB84803MEJ0?>17:FLE9466>1OCL2=2?58@JG;::4<7IAN<36=3>BHI58>2:5KO@>12;1<LFK7>:08;EMB87>9?2NDM1<6>79GKD:56>1OCL2<0?;8@JG;;80;2:5KO@>05;0<LFK7?384DNC?0;0<LFK79384DNC?2;0<LFK7;384DNC?<;0<LFK75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2NDNR\JG69GKUG;87=0HB^N<0<4?AIWI58556J@P@>0>5803ME[M1=17:FLTG:76>1OC]L31?58@JVE4;427IA_B=194;1<LFZI0>0<;DLB7>CIJk1NBR\\TSCN[Dd<MGUY_Y\NM^@24>@4I;LMM?H<01DE454<N>;;7KO<2G204CGA:O:M<85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H?4G29DJA7<A;1B<?5F139J67=N;;1B8?5F599JJLRWW9:37D@FTQ]35==NF@^[S=<7;HLJPUY7;11BBDZ__16;?LHN\YU;955FNHVS[50?3@DBX]Q?789JJLRT\H^N;6GAIU]342=NF@^T<<94IOKW[5403@DBXR><7:KMMQY7<>1BBDZP0458MKOSW9<<7D@FT^243>OIA]U;4:5FNHV\4<1<AGC_S=O8;HLJPZ6E?2CEEYQ?C69JJLRX8M=0ECG[_1G4?LHN\V:M;6GAIU]242=NF@^T=<94IOKW[4403@DBXR?<7:KMMQY6<>1BBDZP1458MKOSW8<<7D@FT^343>OIA]U:4:5FNHV\5<1<AGC_S<O8;HLJPZ7E?2CEEYQ>C69JJLRX9M=0ECG[_0G4?LHN\V;M;6GAIU]142=NF@^T><94IOKW[7403@DBXR<<7:KMMQY5<>1BBDZP2458MKOSW;<<7D@FT^043>OIA]U94:5FNHV\6<1<AGC_S?O8;HLJPZ4E?2CEEYQ=C69JJLRX:M=0ECG[_3G4?LHN\V8M;6GAIU]042=NF@^T?<94IOKW[6403@DBXR=<7:KMMQY4<>1BBDZP3458MKOSW:<<7D@FT^143>OIA]U84:5FNHV\7<1<AGC_S>O8;HLJPZ5E?2CEEYQ<C69JJLRX;M=0ECG[_2G4?LHN\V9M:6GAIU]B2>OIA]UI56GAIU]EMIC43@D]>6BF6:NLEACC>2FDOFKK7:NLCLEFD=1GYY<;;MWW71=K]]>?7A[[559OQQ023DYLCC;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n39M57=I:;1E8:5AEUULVN2<FFDN=6A7;N,55}bXD81[86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH5<X[O=7]]KOOG2?Tg<ZHGI=;LXESc8V@GCWOCY_Y84RDE@AD0<ZLMHIO:4RRVB0>TT\K=0^^Z[YQGf?WUSWYXBC_G[Y^Cf?WUSWYXBC_G[Y^@2?V763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL;87^GB_BMOHLUNGGUHDHMDa:QJIZBB^@IN:6]@USAF<>UWI[^JB;94SSTBHZG03ZX]MAQM6:QWEQST<2YRBN:4TSWF0>R^XL?n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|d=8h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~by<:f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{34d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*Kdg|dSnaznu66b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(Eje~byQlotlw10`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq02n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphs?<l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}2>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|d5;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=2=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'DidyczPcnwmpZe~484=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|dSnw32?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\g|:46?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8081<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7:38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6;2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>2:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}692;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>0:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6?2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>6:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6=2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}632;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>3:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6:2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>1:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{682;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>7:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6>2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>5:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6<2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>;:0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ue<=<:_hlu1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'Vd;<?;Piot21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'je~by2?>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~7=3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphs4;4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im cnwmp959=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,d`f(ck&nh#naznu>7:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idycz35?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|d0;0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,gjsi|5=59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjq:?6<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\40?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idyczP14;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~T>874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkrX;<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\00?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idyczP54;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~T:874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkrX?<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\<27<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV9>SbQBUY]27Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j8$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(kfg{=;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#jafnf]fiur~WY]YS>;Po^OV\Z74Wf?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&xjaRkbpu{\bgYn=11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^k7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{kfSjPeo]j503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l7Xklzdx8l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qehYulVoeSd?PcdrlpZOI^V:<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Je|rTkn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__><9?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GfyuQhc1,`kphsS1WTKCJP265\kZkrpV8TCXZ<1478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:66<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by2=>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:46<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by2;>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:26<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by29>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:06<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by27>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1?16b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0?09c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?38j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU9;:Q`_lw{[7YH]]682<?9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?3<81:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?3Qmde25g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS1WTKCJP265\kZkrpV8TCXZ34?4g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=76]l[hsW;UDYY2;>04`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=76]l[hsW;UDYY2:>7f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1;117a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1816e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0;0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0:09d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7;3?9c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7439?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU9;:Q`_lw{[7YH]]632R]X0468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY6==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR<:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[6333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T88:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]611=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V<>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_677?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX0<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=2=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0<0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;:7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>0:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1:1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64<4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?2;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<28>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95259:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[53d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q?_erlp01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R?:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X:<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^163>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T8894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z32?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P64a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V<Th}a{569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W>?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]4[avh|<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^:6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T4Rjou57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}YuidUmyabPcnoa[BHCW;39SbQBUY]21Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i;#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g5)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g4)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c0-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k8%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j;$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(kfg{=;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZehekULBIQ=93]l[HS_W8?Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn>!cnwmp^>ZWNDOS?7?_n]nq}Y5WF__><9:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV6R_FLG[7?7WfUfyuQ=_NWW743f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{<0<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr;<7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw8082i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at=4=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by28>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~7439>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS1WTKCJP282\kZkrpV8TCXZ31?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?6;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[9_\CKBX:0:TcRczx^0\KPR;;7=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_=[XOGNT>4>Po^ov|Z4XG\^7?3?>739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}Q3QRIAD^0:4ZiXe|rT>RAZT=1=620<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczT8\]DJAY519UdS`{w_3]LQQ:46Vhoh=9>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS1WTKCJP282\kZkrpV8TCXZ34?51?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?0;7092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{atZ:^[BHCW;3;SbQbuy]1[JSS4<4<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^>ZWNDOS?7?_n]nq}Y5WF__080>709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}Q3QRIAD^0:4ZiXe|rT>RAZT=4=37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byU7]^EM@Z4>8VeTaxvP2^MVP9099>;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uovX<XY@FMU95=Q`_lw{[7YH]]6<2:<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\0TULBIQ=91]l[hsW;UDYY28>052?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?<;123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[9_\CKBX:0:TcRczx^0\KPR;07UX[=;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[73>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_27:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS9;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW<?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[33>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_67:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS5;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;793;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7:3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7;3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;743;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X<<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y2=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z02k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^f2[23d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_e3\<05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%|~R~ats]shpu6494i:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy| r`ookjv\8T$ym` }/r4\jjoia}$ym`!\DQ,PMHVKMVZYE@?7.scng5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[1_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjaRgastnbp`6d82_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x$~lcconrX4X(uid$y#~8Pnnkmmq(uid%XH] \ILROAZVUAD;3"ob_hlpqigsm8h=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]6U'xja#| s7]mklhn|'xja"]KP/QJIUJBWYXBA<6!r`o`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T1\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa5e73\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY2Y+tfe'x$;Qaohljp+tfe&YO\#]FMQNF[UTNE82%~lcPioqvhdrb9<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!tlr\vdkXzmUnb8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-phvXzhgT~iQjn010?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}al]tvZci<j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd8583k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?6;2e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]30g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_36`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2?>5a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|Vxnk1?14c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{olS=:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU:?i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYf{{ol8=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYf{{olSi?;0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd31`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySnabb2f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm1268Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"}}su]j[lhq;o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+rtXxg~y0=0Piot2[LHQW9i0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595o6Y]IUG\IJBBWHi0[_G[E^OL@@YE9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD5l2RXXROKV@L@AAYJIJXDSLMLU^@BWLEXEHIYCROKDRWA[C@03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ0<PmhTEih4Xej\Twoj^lxxeb`>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}70:]\[]JIEVUT<RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\0TULBIQ=91]l[hsW;UDYY2<>35a?ZYXPEDFSRQ>_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0>0=829\[Z^KFDUTS?QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV6R_FLG[7?7WfUfyuQ=_NWW64>73VUTTA@B_^]0[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byU7]^EM@Z4>8VeTaxvP2^MVP9099>o0SRQWLOO\[Z2XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Nip~Xoj:%ob{atZ:^[BHCW;=<SbQbuy]1[JSS:8=i7RQPXMLN[ZY2WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV6R_FLG[710WfUfyuQ=_NWW8386>h1TSRVCNL]\[3YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q7_erlp3g<WVUS@CCP_^5\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T:Rjou3;?gjlWdofSjka_fgmawodWjy~<64bmi\i`kXoldT|gb_vkgpmY6911i`fQbel]dakYwz`gT{dj{h^02`>dkcVgnaRijn^rqmhYpzhz{iQ|6^32`>dkcVgnaRijn^rqmhYpzhz{iQ|6^0e?gjlWdofSjka_ums51=edbUfi`Qheo]u2Z4Xpz~:?6lck^ofiZoix|~Tnaalk068fimXelgTcxzuu]ahjel;2igg:5lolrbv`733j~y`Rhffn726}03{}U}<<>n3:awviYaaoe>=?v94rv\r5*aaoeTkh`jr`vlvZp?W;&poRokd^ldgZehfz~ymd`{=1.`[dvwd`ijxdaa_u{saZgaz7; nQnpqnjgdrnggUu}kPr`ak95*dWhz{`dmnthmm[qwmVxooe3?,b]btujnkh~bccQ{yqg\sdeo59&hSl~lhabpliiW}s{iRykci?3(fYfxyfbolzfoo]w}ucX{ic1="l_`zj[dbczV}bhyf233.`[d~nWhx~h|Pwhfwl87+kVkseRoxurgq[roc|a7: nQnxh]aqvcuW~coxe3>,b]b|lYci}kT{dj{h<47(fYfp`UbhRyfduj>0)eXiqcT~x}jr^uj`qn:9%iTmugPtxrf95*dWhrbSz{|es]tmaro58&hSlvfs^vgeqgX`nd08;,b]b|luX|moxxRyfduj>0)eXiqcxSygk_vkgpm;?$jUjtd}PtjgftZqnl}b68!mPaykp[quszkU|eizg=5.`[d~n{V~~h|Pwhfwl82+kVkse~Q{yqg>4)eXkfgfccQllnah94*dWje~byQ{yqg>4)eXlh~jSnaznu]tmaro50&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?50)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4<? nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh86+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<3/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp86+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>4)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl7; nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=0.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}87+kVzyiaand^pfcv;7$jU{~dcPfhdl[qwm4?:>u9=,b]svjaXmdzuRzgrdqk[dutm{~Tzlb22-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_`zjw877:;&hS}|`g^gntqX|axneQnsrgqpZpfdVxjoe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]q`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{lmg=0216)eXx{elShctx]wlwct`Vkxh|{_wco[rbd`4;;>?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Ry}ci?2474+kVzycjQjmqvz[qnumzbTbhintd]uei;4$jU{~biPelrw}Zr~xl7; nQrne\ahvsqV~r|hQnxhq>1)eXx{elShctx]w}ucXzhic18"l_qplcZcjx}sTxt~j_sf`l83+kVzycjQjmqvz[qwmV}joe3:,b]svjaXmdzuRzvpd]t`fn:=%iT|ah_dosp|YsqyoT{mg=4.`[uthoVl~`aQ{hsgplZgt{lxS{oc=433(fYwzfmTjxbc_ujqavnXizyn~yQyam]b|lu:9988 nQrne\bpjkW}byi~fParqfvqYqieUymnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]q`fn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|mnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]t`fn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|~nf21100(fYwzfmTjxbc_ujqavnXflmjxhQyam?64<*dWyxdkRhzlm]w}uc:8%iT|ah_gwohZr~xlUjtd}25-a\twi`Wog`Rzvpd]qefn:=%iT|ah_gwohZr~xlUyhnf25-a\twi`Wog`Rzvpd]tefn:=%iT|ah_gwohZr~xlU|hnf25-a\twi`Wog`Rzvpd]tvfn:=%iT~lmg_u{sa86+kVxoSio{a^alqkrX`nd07#c^pg[acw|a7>=?"l_sf\bwcv58&hSjPpovq[roc|a72 nQ}dbj\p|vb59&hSkh_rnjg`YjgmoTmug|=1.`[wc`WzfbohQboeg\vdeo59&hSkh_rnjg`YjgmoT~img=1.`[wc`WzfbohQboeg\sdeo59&hSkh_rnjg`YjgmoT{img=1.`[wc`WzfbohQboeg\sweo59&hSx`kesdokrYkg~7; nQzsd]`khkhfVe}ihcov?3(fYr{lUhc`c`n^wm``tadf}6<!mPurg\```f}e~Thz{ioep95*dW|ynSiazt^ppp87+kVxiRklc<2/gZstmVohoRowir?3(fYr{lUnonQ}abj>4)eX}zoTinmPreak95*dW|ynShml_vc`l86+kVxiRklc^uggm;7$jU~hQjcb]tvfn:8%iTy~kPel`f`accW{ol1="l_tqf[coag8<Tjaohs<2/gZstmVl|jyQkauc\gjsi|4:'oRxnlhf\hjq:8%iT{lmg_u{sa86+kV}ooeQ{yqg>4)eX{UjofQcov?3(fYpzVnjxlQlotlw[roc|a72 nQxr^fftqn:=88'oRy}_egspmYfp`y6==<;,b]tvZbbx}bT~lmg=04/gZquWmo{xeQ}dbj>5543$jU|~Rjjpuj\sdeo58<'oRy}_egspmYpljb6==<;,b]tvZbbx}bT{mg=04/gZquWoxn}0?#c^uq[uhszV}bhyf29-a\swYwf}xT{dj{h^c{mv;68%iT{Qnup\slbs`Vxjoe3;,b]tvZvi|{U|eizg_sf`l877$jU|~R~ats]tmaroW~khd0:#c^uq[uhszV}bhyfPweak946+kV}yS}`{r^uj`qnX{ic19"l_vp\v`uwggynSao{eoaz94*dW~xhdRzvpd?3y7d<k}xgSkgio431|32t|V|; kgio^efj`tf|fxTz5Q=,zg?agsiVidycz30?f8`drfWje~by2>>e9geqgXkfex1<1d:fbpdYdg|d0>0k;ecweZeh}g~783j4d`vb[firf}6>2i5kauc\gjsi|5<5h6jnt`]`kphs4>4m7io{a^alqkr;03:5h6jnt`]`kphs414>7imPsr18`ak13mce0=08;ekm8469?2nbb1?>>69gmk:6:7=0hd`312<4?aoi48>5;6jfn=36:2=cag6::394dhl?52803mce0<617:fjj97>6?1oec2>>69gmk:587=0hd`320<4?aoi4;85;6jfn=00:2=cag698394dhl?60803mce0?817:fjj9406>1oec2=8?58`lh;:04=7iga<3<4?aoi4::5;6jfn=12:2=cag68>394dhl?76803mce0>:17:fjj9526>1oec2<6?58`lh;;>4<7iga<2:=3>bnf5922;5kio>0:2=cag6?<394dhl?04803mce09<17:fjj9246>1oec2;4?58`lh;<<4<7iga<54=3>bnf5><2:5kio>7<;1<l`d78409;ekm81803mce08>17:fjj9366>1oec2:2?58`lh;=:4<7iga<46=3>bnf5?>2:5kio>62;1<l`d79:08;ekm80>9?2nbb1;6>79gmk:26>1oec290?58`lh;>84<7iga<70==>bnf5<86=08;ekm8359>2nbb1816:fjj919>2nbb1616:fjj9?9?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;><lf0?:18:flqq:5=720hb{{<34=<>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:==cg|~7?<07;emvp955611ocxz332<;?air|59?255kotv?708?3me~x1=9>99gkpr;;>437iazt=1;:==cg|~7?408;emvp95902ndyy2;0?:8`jss4=;546j`uu>76;><lf09=18:flqq:3<720hb{{<57=<>bh}}6?:364dnww811902ndyy2;8?:8`jss4=35;6j`uu>7:==cg|~79=07;emvp936611ocxz353<;?air|5?8255kotv?118?3me~x1;:>99gkpr;=?437iazt=74:==cg|~79507;emvp93>6>1ocxz35?:8`jss4?:546j`uu>55;><lf0;<1a:flqq:1;3:546j`uu>57;1<lf0;08;emvp919?2ndyy27>69gkpr;17?0i`~{y048bl`hWnoeio{os]qeh`nnf;97kgio^efj`tf|fxTxb~>3:djbjY`mgoymya}_w:\67`<n`ldSjkaescwkwYq0V8',Ugcioz#GJTB(Noeio{os"27+46?2lbjbQheogqeqiuW2T>Rv|t59eqij>3`d{yyQmlj48i`khzp20bjmmuhnge>ir|ySobdb:pg[goiWjd~85}d^gm<>tcWeelen?=;sf\wqgs}zUm`li|_rq25>tb{l~TnaePr`oemci43{y56{do]`jqte3~xTnd`Pcovq1>quWld37z|Plnejg44<{Uxxlzzs^doebuX{zwKL}967:BC|7bd2O0?6<u\5g81g2<6?<0:??jjac82f2`dsg8h97?4n3a5>3=#:j91>om4}R7g>7e028=>6<==ddca>4d?<91X=;=536494?74:mojn7?m8568W0b=;><1<7?<2egbf?7e0=?0h?jl:182>4}T=o09o:4>748277bbik0:n5=k;wV2<f<7280:6<?i{R7e>7e028=>6<==ddca>4d?;m1/>lh51778R7e32;q~=;j51:w22`<73t.:;:4>9:`1`f<721l15i4>1gyK6db<,8386?jl;[67>6}6>3;<6<h5}%0`6?5092.?;7<ke:&6a?4cl2.:444>;%3;<?4d02c8>:4?:%34=?55>2d:;54?;:k060<72-;<57==6:l23=<632c8>94?:%34=?55>2d:;54=;:k066<72-;<57==6:l23=<432c8><4?:%34=?55>2d:;54;;:k065<72-;<57==6:l23=<232c8=k4?:%34=?55>2d:;549;:k05`<72-;<57==6:l23=<032c8=i4?:%34=?55>2d:;547;:k05f<72-;<57==6:l23=<>32c8=o4?:%34=?55>2d:;54n;:k05d<72-;<57==6:l23=<e32c8=44?:%34=?55>2d:;54l;:k05=<72-;<57==6:l23=<c32c8=;4?:%34=?55>2d:;54j;:k050<72-;<57==6:l23=<a32c8=94?:%34=?55>2d:;54>0:9j745=83.:;44<279m52>=9810e>?=:18'52?=;;<0b<97:008?l5693:1(<96:205?k7003;876g<1183>!701399:6`>79820>=n;9l1<7*>788063=i9>21=854i22f>5<#9>31??84n05;>40<3`9;h7>5$05:>6413g;<47?8;:k04g<72-;<57==6:l23=<6021b?=o50;&23<<4:?1e=:651898m66>290/=:753348j41?28k07d=?8;29 41>2:8=7c?88;3a?>o48>0;6)?89;112>h6?10:o65f31494?"6?008>;5a16:95a=<a::>6=4+16;9770<f8=36<k4;h130?6=,8=26><9;o34<?7a32c8<>4?:%34=?55>2d:;54=0:9j754=83.:;44<279m52>=:810e>>?:18'52?=;;<0b<97:308?l4an3:1(<96:205?k70038876g=fd83>!701399:6`>79810>=n:on1<7*>788063=i9>21>854i3d`>5<#9>31??84n05;>70<3`8mn7>5$05:>6413g;<47<8;:k1bd<72-;<57==6:l23=<5021b>k750;&23<<4:?1e=:652898m7`?290/=:753348j41?2;k07d<i7;29 41>2:8=7c?88;0a?>o5n<0;6)?89;112>h6?109o65f2g694?"6?008>;5a16:96a=<a;l86=4+16;9770<f8=36?k4;h0e6?6=,8=26><9;o34<?4a32c9j<4?:%34=?55>2d:;54<0:9j6c6=83.:;44<279m52>=;810e?ki:18'52?=;;<0b<97:208?l4bm3:1(<96:205?k70039876g=ee83>!701399:6`>79800>=n:li1<7*>788063=i9>21?854i20a>5<#9>31??84n05;>60<3`99m7>5$05:>6413g;<47=8;:k06<<72-;<57==6:l23=<4021b??650;&23<<4:?1e=:653898m645290/=:753348j41?2:k07d=>7;29 41>2:8=7c?88;1a?>o48j0;6)?89;112>h6?108o65f31394?"6?008>;5a16:97a=<a;l=6=4+16;9770<f8=36>k4;h0ff?6=,8=26><9;o34<?5a32c9hl4?::k03a<722c84=4?::k03<<722e8:54?:%34=?51?2d:;54?;:m023<72-;<57=97:l23=<632e8:84?:%34=?51?2d:;54=;:m021<72-;<57=97:l23=<432e8:?4?:%34=?51?2d:;54;;:m024<72-;<57=97:l23=<232e8:=4?:%34=?51?2d:;549;:m01c<72-;<57=97:l23=<032e89h4?:%34=?51?2d:;547;:m01a<72-;<57=97:l23=<>32e89n4?:%34=?51?2d:;54n;:m01g<72-;<57=97:l23=<e32e89l4?:%34=?51?2d:;54l;:m01<<72-;<57=97:l23=<c32e89:4?:%34=?51?2d:;54j;:m013<72-;<57=97:l23=<a32e8984?:%34=?51?2d:;54>0:9l702=83.:;44<669m52>=9810c>;<:18'52?=;?=0b<97:008?j52:3:1(<96:244?k7003;876a<5083>!70139=;6`>79820>=h;<:1<7*>788022=i9>21=854o26e>5<#9>31?;94n05;>40<3f9?i7>5$05:>6003g;<47?8;:m00f<72-;<57=97:l23=<6021d?9l50;&23<<4>>1e=:651898k62f290/=:753758j41?28k07b=;9;29 41>2:<<7c?88;3a?>i4<10;6)?89;153>h6?10:o65`35594?"6?008::5a16:95a=<g:>=6=4+16;9731<f8=36<k4;n171?6=,8=26>88;o34<?7a32e8894?:%34=?51?2d:;54=0:9l715=83.:;44<669m52>=:810c>:>:18'52?=;?=0b<97:308?j5383:1(<96:244?k70038876a<3g83>!70139=;6`>79810>=h;:o1<7*>788022=i9>21>854o21g>5<#9>31?;94n05;>70<3f98o7>5$05:>6003g;<47<8;:m07g<72-;<57=97:l23=<5021d?>o50;&23<<4>>1e=:652898k65>290/=:753758j41?2;k07b=<8;29 41>2:<<7c?88;0a?>i4;?0;6)?89;153>h6?109o65`32794?"6?008::5a16:96a=<g:9?6=4+16;9731<f8=36?k4;n107?6=,8=26>88;o34<?4a32e8??4?:%34=?51?2d:;54<0:9l767=83.:;44<669m52>=;810c>=?:18'52?=;?=0b<97:208?j55n3:1(<96:244?k70039876a<2d83>!70139=;6`>79800>=h;;n1<7*>788022=i9>21?854o24`>5<#9>31?;94n05;>60<3f9=n7>5$05:>6003g;<47=8;:m02d<72-;<57=97:l23=<4021d?;750;&23<<4>>1e=:653898k604290/=:753758j41?2:k07b=:8;29 41>2:<<7c?88;1a?>i4<m0;6)?89;153>h6?108o65`35094?"6?008::5a16:97a=<g:9<6=4+16;9731<f8=36>k4;n11g?6=,8=26>88;o34<?5a32e9i54?:%34=?4b?2d:;54?;:m1a3<72-;<57<j7:l23=<632e9i84?:%34=?4b?2d:;54=;:m1a1<72-;<57<j7:l23=<432e9i>4?:%34=?4b?2d:;54;;:m1a7<72-;<57<j7:l23=<232e9i<4?:%34=?4b?2d:;549;:m1a5<72-;<57<j7:l23=<032e9hk4?:%34=?4b?2d:;547;:m036<722e8:i4?::`1g4<7280;6=u+18196dc<@;hn7E<nd:m233<722wi>n>50;394?6|,8386<>k;I0aa>N5im1d==m50;9~f4?b290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a5d`=8391<7>t$0;0>7dc3A8ii6F=ae9j0=<722c::?4?::m231<722wi=4h50;694?6|,8386<8l;I0aa>N5im1b854?::k50?6=3`;3;7>5;n340?6=3th:n=4?:283>5}#9091>oj4H3`f?M4fl2c?47>5;h356?6=3f;<87>5;|`2e5<72=0;6=u+181953e<@;hn7E<nd:k7<?6=3`<?6=44i0:4>5<<g8=?6=44}c3a5?6=;3:1<v*>9281fa=O:ko0D?ok;h6;>5<<a8<96=44o057>5<<uk;j=7>54;294~"61:0::n5G2cg8L7gc3`>36=44i7694?=n91=1<75`16694?=zj8h96=4<:183!7>;38ih6F=bd9K6db<a=21<75f17094?=h9>>1<75rb0c1>5<3290;w)?63;35g>N5jl1C>lj4i5:94?=n>=0;66g>8683>>i6?=0;66sm1c194?5=83:p(<7<:3`g?M4em2B9mi5f4983>>o6>;0;66a>7583>>{e9h91<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd6j=0;6>4?:1y'5<5=:kn0D?lj;I0b`>o303:17d?92;29?j70<3:17pl>a583>1<729q/=4=517a8L7db3A8jh6g;8;29?l032900e<68:188k4132900qo?m5;297?6=8r.:5>4=be9K6gc<@;ko7d:7:188m4052900c<9;:188yg7f=3:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f4d129086=4?{%3:7?4el2B9nh5G2`f8m1>=831b=;<50;9l522=831vn<l8:180>5<7s-;2?7<md:J1f`=O:hn0e9650;9j534=831d=::50;9~f4e4290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0a7>5<2290;w)?63;33=>N5jl1C>lj4$03g>7=n<<0;66g;6;29?l3d2900e;>50;9l52b=831vn<m::186>5<7s-;2?7??9:J1f`=O:hn0(<?k:39j00<722c?:7>5;h7`>5<<a?:1<75`16f94?=zj8i=6=4::183!7>;3;;56F=bd9K6db<,8;o6?5f4483>>o3>3:17d;l:188m36=831d=:j50;9~f4e0290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0a;>5<2290;w)?63;33=>N5jl1C>lj4$03g>7=n<<0;66g;6;29?l3d2900e;>50;9l52b=831vn<m6:186>5<7s-;2?7??9:J1f`=O:hn0(<?k:39j00<722c?:7>5;h7`>5<<a?:1<75`16f94?=zj8ij6=4::183!7>;3;;56F=bd9K6db<,8;o6?5f4483>>o3>3:17d;l:188m36=831d=:j50;9~f4ee290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0d4>5<3290;w)?63;33e>N5jl1C>lj4$03g>2=n<<0;66g90;29?l70j3:17b?8d;29?xd6n?0;694?:1y'5<5=99k0D?lj;I0b`>"69m0<7d:::188m36=831b=:l50;9l52b=831vn?;j:187>5<7s-;2?7??8:J1f`=O:hn0(<?k:39j00<722c>o7>5;h43>5<<g8=o6=44}c06`?6=<3:1<v*>92824==O:ko0D?ok;%32`?4<a=?1<75f5b83>>o183:17b?8d;29?xd5i10;6>4?:1y'5<5=99=0D?lj;I0b`>N3;2.::o4>939'54b=:2c?97>5;h43>5<<g8=o6=44}c0b3?6=;3:1<v*>928242=O:ko0D?ok;I60?!71j3;2>6*>1e81?l222900e;>50;9l52b=831vn?o9:186>5<7s-;2?7??b:J1f`=O:hn0D9=4$04a>4?53`>>6=44i5494?=n>90;66g>7c83>>i6?m0;66sm2`394?2=83:p(<7<:02b?M4em2B9mi5+10f93>o3=3:17d8?:188m41e2900c<9k:188yg4f83:187>50z&2=6<68h1C>ok4H3cg?!76l3=0e9;50;9j25<722c:;o4?::m23a<722wi>l;50;694?6|,8386<>n;I0aa>N5im1/=<j57:k71?6=3`<;6=44i05a>5<<g8=o6=44}c0b0?6=<3:1<v*>92824d=O:ko0D?ok;%32`?1<a=?1<75f6183>>o6?k0;66a>7e83>>{e:=o1<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd5<m0;694?:1y'5<5=9?i0D?lj;I0b`>o303:17d8;:188m4>02900c<9;:188yg43k3:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f72e290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a61`=83>1<7>t$0;0>40d3A8ii6F=ae9j0=<722c=87>5;h3;3?6=3f;<87>5;|`17g<72=0;6=u+181953e<@;hn7E<nd:k7<?6=3`<?6=44i0:4>5<<g8=?6=44}c00e?6=<3:1<v*>92822f=O:ko0D?ok;h6;>5<<a?>1<75f19594?=h9>>1<75rb31:>5<3290;w)?63;35g>N5jl1C>lj4i5:94?=n>=0;66g>8683>>i6?=0;66sm22:94?2=83:p(<7<:04`?M4em2B9mi5f4983>>o1<3:17d?77;29?j70<3:17pl=3b83>1<729q/=4=517a8L7db3A8jh6g;8;29?l032900e<68:188k4132900qo?j7;290?6=8r.:5>4>6b9K6gc<@;ko7d:7:188m32=831b=5950;9l522=831vn<k9:187>5<7s-;2?7?9c:J1f`=O:hn0e9650;9j21<722c:4:4?::m231<722wi=h;50;694?6|,8386<8l;I0aa>N5im1b854?::k50?6=3`;3;7>5;n340?6=3th:i94?:583>5}#9091=;m4H3`f?M4fl2c?47>5;h47>5<<a82<6=44o057>5<<uk;n47>54;294~"61:0::n5G2cg8L7gc3`>36=44i7694?=n91=1<75`16694?=zj8n?6=4;:183!7>;3;=o6F=bd9K6db<a=21<75f6583>>o60>0;66a>7583>>{e9m91<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd6l;0;694?:1y'5<5=9?i0D?lj;I0b`>o303:17d8;:188m4>02900c<9;:188yg7c93:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f4b2290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a667=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm23d94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>?j50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:;i1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a67d=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm23c94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>?750;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:;21<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a670=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm20a94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi><l50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:8k1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a64?=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm20:94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi><950;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:8<1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a643=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm28194?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>4<50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:0;1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a6<6=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm29d94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>5k50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:1n1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a6=e=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm29`94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>5?50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:1:1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a62`=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm26g94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>:j50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:>i1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a62d=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm26c94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi=k650;194?6|,8386<89;I0aa>N5im1/=<j5a:k24c<722c:==4?::m231<722wi>8h50;194?6|,8386<89;I0aa>N5im1/=<j5a:k24c<722c:==4?::m231<722wi>4m50;694?6|,8386<88;I0aa>N5im1/=<j5479j55`=831b=<>50;9j547=831d=::50;9~f7gf29086=4?{%3:7?71>2B9nh5G2`f8 47c2h1b==h50;9j546=831d=::50;9~f730290>6=4?{%3:7?7102B9nh5G2`f8 47c28h0e<>i:188m4772900e<?>:188m4752900c<9;:188yg42=3:197>50z&2=6<6>11C>ok4H3cg?!76l3;n7d??f;29?l7683:17d?>1;29?l76:3:17b?84;29?xd5=:0;684?:1y'5<5=9?20D?lj;I0b`>"69m0:o6g>0g83>>o6990;66g>1083>>o69;0;66a>7583>>{e:<31<7=50;2x 4?428<=7E<me:J1ea=#98n1=i5f11d94?=n98:1<75`16694?=zj;>?6=4::183!7>;3;=46F=bd9K6db<,8;o6<m4i02e>5<<a8;;6=44i032>5<<a8;96=44o057>5<<uk8?>7>55;294~"61:0::55G2cg8L7gc3-;:h7?j;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th98=4?:483>5}#9091=;64H3`f?M4fl2.:=i4>e:k24c<722c:==4?::k254<722c:=?4?::m231<722wi>>k50;794?6|,8386<87;I0aa>N5im1/=<j51d9j55`=831b=<>50;9j547=831b=<<50;9l522=831vn?:9:180>5<7s-;2?7?96:J1f`=O:hn0(<?k:0f8m46a2900e<??:188k4132900qo?i0;291?6=8r.:5>4>699K6gc<@;ko7)?>d;3f?l77n3:17d?>0;29?l7693:17d?>2;29?j70<3:17pl>ed83>0<729q/=4=517:8L7db3A8jh6*>1e82f>o68o0;66g>1183>>o6980;66g>1383>>i6?=0;66sm1da94?3=83:p(<7<:04;?M4em2B9mi5+10f95f=n99l1<75f10294?=n98;1<75f10094?=h9>>1<75rb0d1>5<4290;w)?63;352>N5jl1C>lj4$03g>4b<a8:m6=44i033>5<<g8=?6=44}c3g`?6==3:1<v*>92822==O:ko0D?ok;%32`?7d3`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2`g<72<0;6=u+181953><@;hn7E<nd:&25a<6m2c:<k4?::k255<722c:=<4?::k257<722e:;94?::a5a?=83?1<7>t$0;0>40?3A8ii6F=ae9'54b=9l1b==h50;9j546=831b=<?50;9j544=831d=::50;9~f4b0290>6=4?{%3:7?7102B9nh5G2`f8 47c28o0e<>i:188m4772900e<?>:188m4752900c<9;:188yg7cn3:1?7>50z&2=6<6>?1C>ok4H3cg?!76l3;o7d??f;29?l7683:17b?84;29?xd59;0;6:4?:1y'5<5=9?k0D?lj;I0b`>"69m09?6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>7583>>{e:8:1<7850;2x 4?428<27E<me:J1ea=#98n1>?5+16c96fc<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o057>5<<uk8<;7>57;294~"61:0::l5G2cg8L7gc3-;:h7<<;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n340?6=3th9;84?:783>5}#9091=;74H3`f?M4fl2.:=i4=2:&23d<5kj1b==h50;9j546=831b=<?50;9j544=831b=<=50;9l522=831vn??;:180>5<7s-;2?7?96:J1f`=O:hn0(<?k:0c8 41f2;in7d??f;29?l7683:17b?84;29?xd59:0;6:4?:1y'5<5=9?k0D?lj;I0b`>"69m09?6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>7583>>{e:>31<7=50;2x 4?428<=7E<me:J1ea=#98n1=l5+16c96fe<a8:m6=44i033>5<<g8=?6=44}c04<?6=?3:1<v*>92822d=O:ko0D?ok;%32`?443`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;<87>5;|`114<72<0;6=u+181953><@;hn7E<nd:&25a<23`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2ad<72<0;6=u+181953><@;hn7E<nd:&25a<23`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2gf<72>0;6=u+181953g<@;hn7E<nd:&25a<68:1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=::50;9~f754290<6=4?{%3:7?71i2B9nh5G2`f8 47c28:>7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?l76<3:17b?84;29?xd5810;694?:1y'5<5=9?=0D?lj;I0b`>"69m0:<95f11d94?=n98:1<75f10394?=h9>>1<75rb34g>5<3290;w)?63;353>N5jl1C>lj4$03g>4633`;;j7>5;h324?6=3`;:=7>5;n340?6=3th:n44?:483>5}#9091=;64H3`f?M4fl2.:=i49;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th:m;4?:583>5}#9091=;94H3`f?M4fl2.:=i4>8:k24c<722c:==4?::k254<722e:;94?::a5d1=83>1<7>t$0;0>4003A8ii6F=ae9'54b=:91b==h50;9j546=831b=<?50;9l522=831vn<o7:187>5<7s-;2?7?97:J1f`=O:hn0(<?k:328m46a2900e<??:188m4762900c<9;:188yg7f13:187>50z&2=6<6>>1C>ok4H3cg?!76l38;7d??f;29?l7683:17d?>1;29?j70<3:17pl>a`83>1<729q/=4=51758L7db3A8jh6*>1e814>o68o0;66g>1183>>o6980;66a>7583>>{e9hh1<7:50;2x 4?428<<7E<me:J1ea=#98n1>=5f11d94?=n98:1<75f10394?=h9>>1<75rb0c`>5<3290;w)?63;353>N5jl1C>lj4$03g>76<a8:m6=44i033>5<<a8;:6=44o057>5<<uk;jh7>54;294~"61:0:::5G2cg8L7gc3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3f;<87>5;|`2e`<72=0;6=u+1819531<@;hn7E<nd:&25a<582c:<k4?::k255<722c:=<4?::m231<722wi=kl50;194?6|,8386<89;I0aa>N5im1/=<j51e9'52g=:jn0e<>i:188m4772900c<9;:188yg7ak3:187>50z&2=6<6>>1C>ok4H3cg?!76l38;7)?8a;0``>o68o0;66g>1183>>o6980;66a>7583>>{e:?:1<7=50;2x 4?428<=7E<me:J1ea=#98n1=i5+16c96fd<a8:m6=44i033>5<<g8=?6=44}c055?6=<3:1<v*>928222=O:ko0D?ok;%32`?473-;<m7<lb:k24c<722c:==4?::k254<722e:;94?::a65?=83?1<7>t$0;0>40?3A8ii6F=ae9'54b=:81/=:o52bc8m46a2900e<??:188m4762900e<?=:188k4132900qo<?c;292?6=8r.:5>4>689K6gc<@;ko7)?>d;01?!70i38hm6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>i6?=0;66sm21g94?1=83:p(<7<:04b?M4em2B9mi5+10f966=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9>>1<75rb34f>5<2290;w)?63;35<>N5jl1C>lj4$03g>77<,8=j6?m6;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th9;<4?:783>5}#9091=;74H3`f?M4fl2.:=i4=2:&23d<5k01b==h50;9j546=831b=<?50;9j544=831b=<=50;9l522=831vn?9<:184>5<7s-;2?7?9a:J1f`=O:hn0(<?k:318m46a2900e<??:188m4762900e<?=:188m4742900e<?;:188k4132900qo<=e;290?6=8r.:5>4>669K6gc<@;ko7)?>d;42?!70i38o<6g>0g83>>o6990;66g>1083>>i6?=0;66sm22294?2=83:p(<7<:044?M4em2B9mi5+10f924=#9>k1>i>4i02e>5<<a8;;6=44i032>5<<g8=?6=44}c006?6=<3:1<v*>928222=O:ko0D?ok;%32`?063-;<m7<lf:k24c<722c:==4?::k254<722e:;94?::a671=8391<7>t$0;0>4013A8ii6F=ae9'54b=9<1/=:o52bd8m46a2900e<??:188k4132900qo<nc;296?6=8r.:5>4>609K6gc<@;ko7d??e;29?j70<3:17pl>f`83>7<729q/=4=51738L7db3A8jh6g>0d83>>i6?=0;66sm28794?dd290;w)?63;34a>N5jl1C>lj4Z569g~>=13l1=>4>0;37>47=l3i1i7?=:c8~j34=:=1e:>4=4:lg6?6<fo>1<6*>008243=#9981=4<4$036>1=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$075>1=#9<=186*>5987?!7213>0(<;n:59'50d=<2.:9n4;;%36`?2<,8?n6>5+14d97>"6>90:;>5+19695<7<,82>6984$0:5>10<,82j69<4$0:a>4>c3-;3i7=4$0:e>6=#:kk1=:h4$3`a>41a3`;3<7>5;h6e>5<<a8:;6=44i0:0>5<<a<>1<75f19394?=n9181<75ffg83>>ofj3:1(<96:`c8j41?2910el750;&23<<fi2d:;54>;:kb<?6=,8=26lo4n05;>7=<ah=1<7*>788be>h6?10876g=b583>!70138i?6`>7983?>o5j;0;6)?89;0a7>h6?10:76g=b083>!70138i?6`>7981?>o5j90;6)?89;0a7>h6?10876ala;29 41>2j30b<97:198kf>=83.:;44l9:l23=<632eh:7>5$05:>f?<f8=36?54ob794?"6?00h56`>7980?>id<3:1(<96:b;8j41?2=10cn=50;&23<<d12d:;54:;:m`6?6=,8=26n74n05;>3=<gj;1<7*>788`=>h6?10<76al0;29 41>2j30b<97:998kg`=83.:;44l9:l23=<>32eii7>5$05:>f?<f8=36l54ocf94?"6?00h56`>798a?>iej3:1(<96:b;8j41?2j10coo50;&23<<d12d:;54k;:ma=?6=,8=26n74n05;>`=<gk21<7*>788`=>h6?10m76am7;29 41>2j30b<97:028?jd1290/=:75c89m52>=9810co;50;&23<<d12d:;54>2:9lf1<72-;<57m6;o34<?7432ei?7>5$05:>f?<f8=36<:4;n`1>5<#9>31o45a16:950=<gm;1<7*>788`=>h6?10::65`d183>!7013i27c?88;34?>idn3:1(<96:b;8j41?28207bmj:18'52?=k01e=:651898kfb=83.:;44l9:l23=<6i21don4?:%34=?e>3g;<47?m;:m`f?6=,8=26n74n05;>4e<3fi<6=4+16;9g<=i9>21=i54oca94?"6?00h56`>7982a>=hj80;6)?89;a:?k7003;m76g=9;29 41>2;20b<97:198m71=83.:;44=8:l23=<632c9:7>5$05:>7><f8=36?54i3794?"6?00946`>7980?>o4:3:1(<96:3:8j41?2=10e>?50;&23<<502d:;54:;:k04?6=,8=26?64n05;>3=<a;l1<7*>7881<>h6?10<76g=e;29 41>2;20b<97:998m7b=83.:;44=8:l23=<>32c9o7>5$05:>7><f8=36l54i3`94?"6?00946`>798a?>o5i3:1(<96:3:8j41?2j10e?:50;&23<<502d:;54k;:k0<?6=,8=26>94n05;>5=<a:<1<7*>78803>h6?10:76g<5;29 41>2:=0b<97:398m62=83.:;44<7:l23=<432c?=7>5$05:>61<f8=36954i5294?"6?008;6`>7986?>o4n3:1(<96:258j41?2?10e>k50;&23<<4?2d:;548;:k0`?6=,8=26>94n05;>==<a:i1<7*>78803>h6?10276g<b;29 41>2:=0b<97:`98m6g=83.:;44<7:l23=<e32c857>5$05:>61<f8=36n54i2194?"6?008;6`>798g?>o>n3:1(<96:8g8j41?2910e4j50;&23<<>m2d:;54>;:k:f?6=,8=264k4n05;>7=<a0k1<7*>788:a>h6?10876g69;29 41>20o0b<97:598m<>=83.:;446e:l23=<232c2;7>5$05:><c<f8=36;54i8494?"6?002i6`>7984?>o>=3:1(<96:8g8j41?2110e4:50;&23<<>m2d:;546;:k:7?6=,8=264k4n05;>d=<a081<7*>788:a>h6?10i76g60;29 41>20o0b<97:b98m=`=83.:;446e:l23=<c32c3i7>5$05:><c<f8=36h54i9f94?"6?002i6`>798e?>o?k3:1(<96:8g8j41?28:07d6m:18'52?=1l1e=:651098m=g=83.:;446e:l23=<6:21b444?:%34=??b3g;<47?<;:k;<?6=,8=264k4n05;>42<3`2<6=4+16;9=`=i9>21=854i`494?"6?002i6`>79822>=ni<0;6)?89;;f?k7003;<76gn4;29 41>20o0b<97:0:8?lg4290/=:759d9m52>=9010el<50;&23<<>m2d:;54>a:9je4<72-;<577j;o34<?7e32cj<7>5$05:><c<f8=36<m4;h;`>5<#9>315h5a16:95a=<a0;1<7*>788:a>h6?10:i65f8783>!70133n7c?88;3e?>ia13:1(<96:g:8j41?2910ck950;&23<<a02d:;54>;:me2?6=,8=26k64n05;>7=<go?1<7*>788e<>h6?10876gm0;29 41>2hl0b<97:198mdc=83.:;44nf:l23=<632cjh7>5$05:>d`<f8=36?54i`a94?"6?00jj6`>7980?>iam3:1(<96:gf8j41?2910ckm50;&23<<al2d:;54>;:mef?6=,8=26kj4n05;>7=<gok1<7*>788e`>h6?10876ajc;29 41>2lh0b<97:198k`g=83.:;44jb:l23=<632en47>5$05:>`d<f8=36?54od594?"6?00nn6`>7980?>ib>3:1(<96:d`8j41?2=10ch;50;&23<<bj2d:;54:;:mf0?6=,8=26hl4n05;>3=<gl91<7*>788ff>h6?10<76aj2;29 41>2lh0b<97:998k`7=83.:;44jb:l23=<>32en<7>5$05:>`d<f8=36l54oed94?"6?00nn6`>798a?>icl3:1(<96:d`8j41?2j10cim50;&23<<bj2d:;54k;:mgf?6=,8=26hl4n05;>`=<gmk1<7*>788ff>h6?10m76ak9;29 41>2lh0b<97:028?jb?290/=:75ec9m52>=9810ci950;&23<<bj2d:;54>2:9l`3<72-;<57km;o34<?7432eo97>5$05:>`d<f8=36<:4;nf7>5<#9>31io5a16:950=<go91<7*>788ff>h6?10::65`f383>!7013oi7c?88;34?>ia93:1(<96:d`8j41?28207bh?:18'52?=mk1e=:651898k``=83.:;44jb:l23=<6i21dih4?:%34=?ce3g;<47?m;:mf`?6=,8=26hl4n05;>4e<3fo26=4+16;9ag=i9>21=i54oeg94?"6?00nn6`>7982a>=hl:0;6)?89;ga?k7003;m76g=b883>!70138i46`>7983?>o5j>0;6)?89;0a<>h6?10:76g=b783>!70138i46`>7981?>o5j<0;6)?89;0a<>h6?10876g8e;29 41>2>n0b<97:198m2e=83.:;448d:l23=<632c<m7>5$05:>2b<f8=36?54i6;94?"6?00<h6`>7980?>o003:1(<96:6f8j41?2=10e:950;&23<<0l2d:;54:;:k42?6=,8=26:j4n05;>3=<a>?1<7*>7884`>h6?10<76g84;29 41>2>n0b<97:998m25=83.:;448d:l23=<>32c<>7>5$05:>2b<f8=36l54i6394?"6?00<h6`>798a?>o1n3:1(<96:6f8j41?2j10e;k50;&23<<0l2d:;54k;:k5`?6=,8=26:j4n05;>`=<a?i1<7*>7884`>h6?10m76g9b;29 41>2>n0b<97:028?l0f290/=:757e9m52>=9810e;750;&23<<0l2d:;54>2:9j2=<72-;<579k;o34<?7432c=;7>5$05:>2b<f8=36<:4;h45>5<#9>31;i5a16:950=<a1?1<7*>7884`>h6?10::65f8583>!7013=o7c?88;34?>o?;3:1(<96:6f8j41?28207d6=:18'52?=?m1e=:651898m=7=83.:;448d:l23=<6i21b4=4?:%34=?1c3g;<47?m;:k4b?6=,8=26:j4n05;>4e<3`=i6=4+16;93a=i9>21=i54i6294?"6?00<h6`>7982a>=n><0;6)?89;5g?k7003;m76sm28:94?dd290;w)?63;34a>N5jl1C>lj4Z569g~>=13l1=>4>0;37>47=l3i1i7?=:c8~j34=:=1e:>4=4:lg6?6<fo>1<6*>008243=#9981=4<4$036>1=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$075>1=#9<=186*>5987?!7213>0(<;n:59'50d=<2.:9n4;;%36`?2<,8?n6>5+14d97>"6>90:;>5+19695<7<,82>6984$0:5>10<,82j69<4$0:a>4>c3-;3i7=4$0:e>6=#:kk1=:h4$3`a>41a3`;3<7>5;h6e>5<<a8:;6=44i0:0>5<<a<>1<75f19394?=n9181<75ffg83>>ofj3:1(<96:`c8j41?2910el750;&23<<fi2d:;54>;:kb<?6=,8=26lo4n05;>7=<ah=1<7*>788be>h6?10876g=b583>!70138i?6`>7983?>o5j;0;6)?89;0a7>h6?10:76g=b083>!70138i?6`>7981?>o5j90;6)?89;0a7>h6?10876ala;29 41>2j30b<97:198kf>=83.:;44l9:l23=<632eh:7>5$05:>f?<f8=36?54ob794?"6?00h56`>7980?>id<3:1(<96:b;8j41?2=10cn=50;&23<<d12d:;54:;:m`6?6=,8=26n74n05;>3=<gj;1<7*>788`=>h6?10<76al0;29 41>2j30b<97:998kg`=83.:;44l9:l23=<>32eii7>5$05:>f?<f8=36l54ocf94?"6?00h56`>798a?>iej3:1(<96:b;8j41?2j10coo50;&23<<d12d:;54k;:ma=?6=,8=26n74n05;>`=<gk21<7*>788`=>h6?10m76am7;29 41>2j30b<97:028?jd1290/=:75c89m52>=9810co;50;&23<<d12d:;54>2:9lf1<72-;<57m6;o34<?7432ei?7>5$05:>f?<f8=36<:4;n`1>5<#9>31o45a16:950=<gm;1<7*>788`=>h6?10::65`d183>!7013i27c?88;34?>idn3:1(<96:b;8j41?28207bmj:18'52?=k01e=:651898kfb=83.:;44l9:l23=<6i21don4?:%34=?e>3g;<47?m;:m`f?6=,8=26n74n05;>4e<3fi<6=4+16;9g<=i9>21=i54oca94?"6?00h56`>7982a>=hj80;6)?89;a:?k7003;m76g=9;29 41>2;20b<97:198m71=83.:;44=8:l23=<632c9:7>5$05:>7><f8=36?54i3794?"6?00946`>7980?>o4:3:1(<96:3:8j41?2=10e>?50;&23<<502d:;54:;:k04?6=,8=26?64n05;>3=<a;l1<7*>7881<>h6?10<76g=e;29 41>2;20b<97:998m7b=83.:;44=8:l23=<>32c9o7>5$05:>7><f8=36l54i3`94?"6?00946`>798a?>o5i3:1(<96:3:8j41?2j10e?:50;&23<<502d:;54k;:k0<?6=,8=26>94n05;>5=<a:<1<7*>78803>h6?10:76g<5;29 41>2:=0b<97:398m62=83.:;44<7:l23=<432c?=7>5$05:>61<f8=36954i5294?"6?008;6`>7986?>o4n3:1(<96:258j41?2?10e>k50;&23<<4?2d:;548;:k0`?6=,8=26>94n05;>==<a:i1<7*>78803>h6?10276g<b;29 41>2:=0b<97:`98m6g=83.:;44<7:l23=<e32c857>5$05:>61<f8=36n54i2194?"6?008;6`>798g?>o>n3:1(<96:8g8j41?2910e4j50;&23<<>m2d:;54>;:k:f?6=,8=264k4n05;>7=<a0k1<7*>788:a>h6?10876g69;29 41>20o0b<97:598m<>=83.:;446e:l23=<232c2;7>5$05:><c<f8=36;54i8494?"6?002i6`>7984?>o>=3:1(<96:8g8j41?2110e4:50;&23<<>m2d:;546;:k:7?6=,8=264k4n05;>d=<a081<7*>788:a>h6?10i76g60;29 41>20o0b<97:b98m=`=83.:;446e:l23=<c32c3i7>5$05:><c<f8=36h54i9f94?"6?002i6`>798e?>o?k3:1(<96:8g8j41?28:07d6m:18'52?=1l1e=:651098m=g=83.:;446e:l23=<6:21b444?:%34=??b3g;<47?<;:k;<?6=,8=264k4n05;>42<3`2<6=4+16;9=`=i9>21=854i`494?"6?002i6`>79822>=ni<0;6)?89;;f?k7003;<76gn4;29 41>20o0b<97:0:8?lg4290/=:759d9m52>=9010el<50;&23<<>m2d:;54>a:9je4<72-;<577j;o34<?7e32cj<7>5$05:><c<f8=36<m4;h;`>5<#9>315h5a16:95a=<a0;1<7*>788:a>h6?10:i65f8783>!70133n7c?88;3e?>ia13:1(<96:g:8j41?2910ck950;&23<<a02d:;54>;:me2?6=,8=26k64n05;>7=<go?1<7*>788e<>h6?10876gm0;29 41>2hl0b<97:198mdc=83.:;44nf:l23=<632cjh7>5$05:>d`<f8=36?54i`a94?"6?00jj6`>7980?>iam3:1(<96:gf8j41?2910ckm50;&23<<al2d:;54>;:mef?6=,8=26kj4n05;>7=<gok1<7*>788e`>h6?10876ajc;29 41>2lh0b<97:198k`g=83.:;44jb:l23=<632en47>5$05:>`d<f8=36?54od594?"6?00nn6`>7980?>ib>3:1(<96:d`8j41?2=10ch;50;&23<<bj2d:;54:;:mf0?6=,8=26hl4n05;>3=<gl91<7*>788ff>h6?10<76aj2;29 41>2lh0b<97:998k`7=83.:;44jb:l23=<>32en<7>5$05:>`d<f8=36l54oed94?"6?00nn6`>798a?>icl3:1(<96:d`8j41?2j10cim50;&23<<bj2d:;54k;:mgf?6=,8=26hl4n05;>`=<gmk1<7*>788ff>h6?10m76ak9;29 41>2lh0b<97:028?jb?290/=:75ec9m52>=9810ci950;&23<<bj2d:;54>2:9l`3<72-;<57km;o34<?7432eo97>5$05:>`d<f8=36<:4;nf7>5<#9>31io5a16:950=<go91<7*>788ff>h6?10::65`f383>!7013oi7c?88;34?>ia93:1(<96:d`8j41?28207bh?:18'52?=mk1e=:651898k``=83.:;44jb:l23=<6i21dih4?:%34=?ce3g;<47?m;:mf`?6=,8=26hl4n05;>4e<3fo26=4+16;9ag=i9>21=i54oeg94?"6?00nn6`>7982a>=hl:0;6)?89;ga?k7003;m76g=b883>!70138i46`>7983?>o5j>0;6)?89;0a<>h6?10:76g=b783>!70138i46`>7981?>o5j<0;6)?89;0a<>h6?10876g8e;29 41>2>n0b<97:198m2e=83.:;448d:l23=<632c<m7>5$05:>2b<f8=36?54i6;94?"6?00<h6`>7980?>o003:1(<96:6f8j41?2=10e:950;&23<<0l2d:;54:;:k42?6=,8=26:j4n05;>3=<a>?1<7*>7884`>h6?10<76g84;29 41>2>n0b<97:998m25=83.:;448d:l23=<>32c<>7>5$05:>2b<f8=36l54i6394?"6?00<h6`>798a?>o1n3:1(<96:6f8j41?2j10e;k50;&23<<0l2d:;54k;:k5`?6=,8=26:j4n05;>`=<a?i1<7*>7884`>h6?10m76g9b;29 41>2>n0b<97:028?l0f290/=:757e9m52>=9810e;750;&23<<0l2d:;54>2:9j2=<72-;<579k;o34<?7432c=;7>5$05:>2b<f8=36<:4;h45>5<#9>31;i5a16:950=<a1?1<7*>7884`>h6?10::65f8583>!7013=o7c?88;34?>o?;3:1(<96:6f8j41?28207d6=:18'52?=?m1e=:651898m=7=83.:;448d:l23=<6i21b4=4?:%34=?1c3g;<47?m;:k4b?6=,8=26:j4n05;>4e<3`=i6=4+16;93a=i9>21=i54i6294?"6?00<h6`>7982a>=n><0;6)?89;5g?k7003;m76s|36f94?4|V:=o70<n6;34f>{t9?l1<76l{<0`4?77k279m9490:?1e0<18279m=490:?1e4<18279m;490:?10c<1<2798o494:?10f<1<2798i494:?10`<1<279?n494:?17=<1<279?4494:?17d<1<279?o494:?2a=<1<27:i9494:?2a0<1<27:i;494:?2a2<1<27:h8494:?2`4<1<27:h?494:?2`6<1<27:h9494:?1=0<5l279584=c:?1=0<5j279584=a:?1=0<5<279584<c:?1=0<4j279584<a:?1=0<41279584<3:?1=0<>n2795846d:?1=0<>j2795846a:?1=0<>127958468:?1=0<>?27958466:?1=0<>=27958464:?1=0<>;27958462:?1=0<>82795847f:?1=0<?m2795847d:?1=0<?k2795847b:?1=0<?i27958479:?1=0<?027958477:?1=0<f>279584n5:?1=0<f<279584n3:?1=0<f:279584n1:?1=0<f82795846c:?1=0<>927958476:?1=0<e8279584ne:?1=0<fl279584nc:?1=0<5j016>4;52c5897?22;h=70<65;0a1>;51<0:4=5228795=7<5;3>6<6=;<0:<?4c348247<l;<0:<?4e348247<n;<0:<?43348247=l;<0:<?5e348247=n;<0:<?5>348247=<;<0:<?1b3482479;;<0:<?0e3482476<;<0:<??a3482477k;<0:<??e3482477n;<0:<??>34824777;<0:<??034824779;<0:<??23482477;;<0:<??43482477=;<0:<??73482476i;<0:<?>b3482476k;<0:<?>d3482476m;<0:<?>f34824766;<0:<?>?34824768;<0:<?g1348247o:;<0:<?g3348247o<;<0:<?g5348247o>;<0:<?g73482477l;<0:<??634824769;<0:<?ge348247o6;<0:<?g?348247o8;<0:<?d7348247oj;<0:<?gc348247ol;<0:<?4e1279554=b69>6<>=:k<01?77:3`6?84>03;3<63=9982<4=::021=5<4}r06a?6=:rT8;>5224g952b<uz;m:7>511y>5c0=9>n01<h7:033?87f>3;:<63>a68254=:9h21=<?4=0c:>47634;jm7?>1:?2eg<69816=lm5103894gc28;:70?ne;325>;6nh0:<h5228a9547<58ih6<?=;<007?76:27:n44>139~w4`02909wS=9d:?2b2<6?m1v<m=:180[4b027:oo4>7e9>5dc=99l0q~==c;296~X4:j16>4;5d29~w6502909wS=<7:?1=0<cm2wx?9<50;0xZ625348297k6;|q00a<72;qU?9j4=3;6>`b<uz9>47>52z\01==::0?1ih5rs240>5<5sW9=?63=948fb>{t;?31<7<t^24:?84>=3l;7p}<6`83>7}Y;?k01?7::g38yv51j3:1>vP<6c9>6<3=nh1v>8l:181[51k279584i2:p77b=838pR><k;<0:1?`43ty8>h4?:3y]77c<5;3>6i:4}r11b?6=:rT8>k522879`0=z{:9;6=4={_104>;51<0o:6s|32394?4|V:9:70<65;f4?xu4;;0;6?uQ320897?22m20q~=<3;296~X4;:16>4;5d89~w6532909wS=<4:?1=0<aj2wx?>;50;0xZ652348297jn;|q073<72;qU?>84=3;6>ad<uz9847>52z\07==::0?1hn5rs21:>5<5sW98563=948g`>{t;:k1<7<t^21b?84>=3nm7p}<3c83>7}Y;:h01?7::d28yv54k3:1>vP<3b9>6<3=m81v>=k:181[54l279584j2:p76c=838pR>=j;<0:1?`d3ty8?k4?:3y]76`<5;3>6h=4}r174?6=:rT88=522879a1=z{:>:6=4={_175>;51<0n96s|35194?4|V:>870<65;g5?xu4<=0;6?uQ356897?22l=0q~=;5;296~X4<<16>4;5e99~w6212909wS=;6:?1=0<bi2wx?9950;0xZ620348297kl;|q00=<72;qU?964=3;6>cc<uz9?57>52z\00<=::021h>5rs26b>5<5sW9?m63=998ga>{t;=h1<7<t^26a?84>03o27p}<4b83>7}Y;=i01?77:df8yv53m3:1>vP<4d9>6<>=ml1v>:i:181[53n279554jf:p706=838pR>;?;<0:<?`73ty89<4?:3y]707<5;336k<4}r166?6=:rT89?5228:9b6=z{:?86=4={_167>;5110o86s|34694?4|V:??70<68;f6?xu4=<0;6?uQ347897??2m<0q~=:6;296~X4=?16>465d69~w6302909wS=:7:?1==<c02wx?8750;0xZ63>348247jn;|q01d<72;qU?8o4=3;;>ad<uz9>n7>52z\01g=::021hn5rs27`>5<5sW9>o63=998g`>{t;<n1<7<t^27g?84>03nm7p}<5d83>7}Y;<o01?77:d28yv52n3:1>vP<5g9>6<>=m81v>8?:181[518279554j3:p737=838pR>8>;<0:<?c33ty8:?4?:3y]734<5;336h;4}r150?6=:rT8:95228:9a3=z{:<>6=4={_151>;5110n;6s|37494?4|V:<=70<68;g;?xu4>10;6?uQ37:897??2lk0q~<n2;297~;5=m0>o63=5d86g>;5i=0:;i5rs3;e>5<6lr7:o>4:c:?2g1<2k27:o84:c:?2g3<2k27:o:4:c:?2g=<2k27:o44:c:?2gd<2k27:oo4:c:?2b3<6?k16=k9516`897g628=o70<>5;7`?846>3?h70<>7;7`?84603?h70<>9;7`?846i3?h70<>b;7`?846k3?h70<=6;7`?84503?h70<=9;7`?845i3?h70<=b;7`?845k3?h70<=d;7`?845n3?h70<<1;7`?xu5i:0;6<<t=3c6>41c348<m7;l;<04f?3d348<o7;l;<04`?3d348<i7;l;<04b?3d3483<7;l;<0;5?3d3483n7;l;<0;g?3d3483h7;l;<0;a?3d3483j7;l;<0:4?3d3482=7;l;<0:6?3d3482?7;l;|q0<5<72<qU?5>4=37e>46a34;ho7?>0:?176<68o16=o7511d8yv5013:1=>uQ36;894`?28:m70?m9;324>;6i?0:=<521`59546<58k36<??;<3b=?76827:ml4>119>5dd=98:01<ol:033?87fl3;:<63>ad8255=::;o1==h4=313>46a3488>7??f:?162<68o16>4m511d894ed28:m70<<3;324>{t:0h1<7=t=3;`>413348297??0:?1==<6891v?7k:182g~;5?h0?:63=7c872>;5?j0?:63=7e872>;5?l0?:63=7g872>;5090?:63=80872>;50k0?:63=8b872>;50m0?:63=8d872>;50o0?:63=91872>;5180?:63=93872>;51:0?:63=5g8231=::0?1>o:4=3;6>7d5348297<m1:?1=0<5j916>4;5fg9>6<>=:k>01?77:3`1?84>038i=63=9981f5=::021jk5rs3fb>5<4jrT9hl521b1900=:9j>188521b7900=:9j<188521b5900=:9j2188521b;900=:9jk188521b`900=:9o<188521g5900=::<n1885224g900=::h>188522`7900=::h:188522`3900=::h<188522`5900=::h218852207900=::8<18852205900=::821885220;900=::8k1885220`900=::8i18852234900=::;21885223;900=::;k1885223`900=::;i1885223f900=::;l18852223900=::>k1885226`900=::>i1885226f900=::>o1885226d900=::1:18852293900=::1h1885229a900=::1n1885229g900=::1l18852282900=::0;18852280900=::091885228790c=::0?1995228:90c=::021995rs33g>5<bs489:7?8d:?14=<69816=kl511d894`d28;:70<?9;326>;58j0:=>5221g9542<5;8<6<??;<0:1?5c348247=k;<00a?76:27:h:4>139>607=98801<kn:031?xu59l0;6;u223:952b<5;?:6<>i;<0:1?5b348247=j;<00a?76827:h:4>119~w77a290=w0<=9;34`>;5<90:=<521e;9547<5;3>6>h4=3;;>6`<5;?86<>i;|q165<72?q6>?o516f8972728:m70?k9;33b>;51<0?<63=99874>;5=:0:==5rs302>5<1s489n7?8d:?110<69816>9<5103894be28;:70<65;62?84>03>:7p}=2383>3}::;i1=:j4=376>46a348?>7??f:?2`g<68o16>4;5359>6<>=;=1v?<<:184845l3;<h63=568254=::=>1=<?4=0fg>4763489i7?>1:?1=0<4=279554<5:p672=83=p1?<i:05g?84483;:=63=94802>;51108:63=568257=::=>1=<<4=0fg>4753ty9>84?:6y>667=9>n01?==:032?84>=39370<68;1;?84213;:<63=478255=:9ml1=<>4}r0:a?6=<r79m=4>7e9>6<3=91901?77:0:0?84>k3;:<6s|2d`94?4|V;oi70<65;46?xu5n?0;6?uQ2g4897?22>:0q~=?1;296~X48816>4;57c9~w66d2909wS=?c:?1=0<0n2wx?<950;0xZ6703482976?;|q067<72;qU??<4=3;6>=7<uz9947>52z\06==::0?14?5rs20:>5<5sW99563=948;7>{t;;k1<7<t^20b?84>=3k<7p}<2c83>7}Y;;h01?7::968yv4bk3:1>vP=eb9>6<3=0<1v?kk:181[4bl27958496:p6`c=838pR?kj;<0:1?003ty9ik4?:3y]6``<5;3>6;64}r0e4?6=:rT9j=5228792<=z{;l:6=4={_0e5>;51<0=m6s|2g094?4|V;l970<65;4a?xu5n:0;6?uQ2g1897?22h20q~<i4;296~X5n=16>4;56b9~w7`22909wS<i5:?1=0<1l2wx>k950;0xZ7`03482978j;|q1b=<72;qU>k64=3;6>3`<uz8m57>52z\1b<=::0?1;<5rs3db>5<5sW8mm63=94846>{t:oh1<7<t^3da?84>=3=87p}=fb83>7}Y:oi01?7::668yv4al3:1>vP=fe9>6<3=i01v?hj:181[4am27958485:p6c`=838pR?hi;<0:1?113ty8<=4?:3y]756<5;3>6:94}r136?6=:rT8<?5228793==z{::86=4={_137>;51<0<56s|31694?4|V::?70<65;5b?xu48<0;6?uQ317897?22>i0q~=?6;296~X48?16>4;57d9~w6602909wS=?7:?1=0<fj2wx?=650;0xZ66?3482478:;|q04<<72;qU?=74=3;;>26<uz9;m7>52z\04d=::021;o5rs22a>5<5sW9;n63=9984b>{t;9n1<7<t^22g?84>032;7p}<0d83>7}Y;9o01?77:938yv57n3:1>vP<0g9>6<>=0;1v>??:181[56827955474:p747=838pR>?>;<0:<?>23ty8=?4?:3y]744<5;336;84}r127?6=:rT8=>5228:922=z{:;?6=4={_120>;5110=46s|30794?4|V:;>70<68;4:?xu49?0;6?uQ304897??2?k0q~=>8;296~X49116>4656b9~w67>2909wS=>9:?1==<1l2wx?<o50;0xZ67f3482478j;|q05g<72;qU?<l4=3;;>3`<uz9:o7>52z\05f=::021;<5rs23g>5<5sW9:h63=99846>{t;8o1<7<t^23f?84>03=87p}<1g83>7}Y;8l01?77:678yv5583:1>vP<219>6<>=??1v><>:181[55927955487:p775=838pR><<;<0:<?1?3ty8>94?:3y]772<5;336:74}r111?6=:rT8>85228:93d=z{:8<6=4={_113>;5110<o6s|29094?c|5;2i6<9k;<05`?769279:=4>0g9>637=98;01?8j:031?84093;:?63=728251=::hi1==k4=3;6>7c<5;336?k4=31f>47634;o;7?>1:?114<69816=ho51038yv4?;3:1:v3=8b823a=::0?1>k5228:96c=:::o1==h4=0f4>46a34;nm7??f:p6=2=83<p1?6k:05g?84383;:>63>d88257=::0?1?=5228:975=:9li1==h4}r0;1?6=>r794h4>7e9>616=98:01<kl:033?87c13;:<63=94805>;51108=6s|29494?0|5;2m6<9k;<076?76:27:ho4>139>6<3=;;16>465339>5`c=99l0q~<77;292~;5190:;i522509546<58on6<??;<3gf?768279584=5:?1==<5=2wx>5650;4x97?628=o70?i0;326>;51<09:63=99812>;5<=0:<k521ef955`<uz8357>56z?1=7<6?m16>9:5102894`728;;70?kd;324>;51<09;63=99813>{t:1k1<78t=3;0>41c34;m>7?>0:?1=0<51279554=9:?103<68o16=ih511d8yv7al3:15v3=14823a=::;21:=521g`9546<58lh6<??;<03=?769279<n4>139>65c=98901<kn:033?84703;:<6s|1gg94?>|5;;=6<9k;<01=?0734;no7?>1:?2bf<68o16>=751028976d28;;70<?e;324>;5810:<k5rs0de>5<>s48:;7?8d:?16d<18279=?4>129>646=98801??<:031?84713;;j63=0b8254=::9o1=<?4=0g`>4753ty9<=4?:9y>64>=9>n01?<m:728977528;970<>0;325>;58j0:<k5221g9544<58on6<?=;<020?7682wx>=?50;5x977>28=o70<=c;43?846:3;:=63=118255=::9o1==h4=0gf>476348:?7?>1:p654=83<p1??n:05g?87a83;:=63=138255=::8:1==h4=30f>477348:87??f:p655=83?p1??m:05g?87a83;;j63=13824c=::::1=<>4=330>4773ty9<94?:5y>64e=9>n01<h=:02e?846;3;;j63=338255=z{;3?6=4={<0;f?07348jo7?84:p634=833p1?9n:05g?84?k3<;70<:1;324>;5>90:==522739546<5;<n6<?>;<045?76:279;>4>129>63b=98:0q~<93;29<~;5?k0:;i5229f925=::?;1==h4=34f>477348<=7?>0:?136<69916>8=51038970c28:m7p}=6583><}::>i1=:j4=3:f>36<5;=<6<?<;<041?76:279;54>139>63c=99l01?9>:032?840;3;:=63=528257=z{;<>6=47{<04`?70l2794k490:?110<69;16>:951008971228;:70<81;33b>;5?:0:=?5226;9546<uz8=:7>57z?13`<6?m16>4>5619>603=98:01?98:032?840=3;:<63=72824c=::>21=<?4}r053?6=>r79;k4>7e9>6<7=>916>:951028971228:m70<:7;324>;5?00:<k5rs34;>5<2s483<7?8d:?1=7<18279;:4>0g9>601=99l01?97:033?xu5>00;69u2293952b<5;386;>4=35;>46a348>57??f:p60b=83?p1?;k:05g?842n3;:<63>cb8254=:::91=<?4=0`:>4763ty:n54?:`y>5f5=<?16=n:5479>5f3=<?16=n85479>5f1=<?16=n65479>5f?=<?16=no5479>5fd=<?16=o751668yv7><3:1==u218g90==:9hl18552207903=::8<18;52205903=::8218;5220;903=::8k18;5220`903=::8i18;5223:903=::;318;5223c903=::;h18;5223a903=:9o21=::4}r0bf?6==r79o<4>779>61d=<116>>65499>5`2=<116=i?5499~w4df290?w0?6e;47?[4cn27:o>4>7e9>5d0=99l0q~?65;296~;6io0:;9521b1925=z{8k=6=4<{<3:a?7??27:mk4>639>5d0=9>>0q~?6e;297~;61l0:;95218d90==:9k:1855rs0`a>5<3s4;2j78;;_0f4>;6k=0:;i521`5955`<uz;2:7>52z?2f5<6?=16=n:5619~w4g02908w0?6f;3;3>;6j90::?521`59522<uz;2j7>53z?2=c<6?=16=l>5499>5g7=<11v<ll:18787f83<?7S<j1:?2g0<6?m16=l6511d8yv7>?3:1>v3>b08231=:9j?1:=5rs0c;>5<4s4;j<7?77:?2f4<6>;16=l651668yv7f83:1?v3>a18231=:9h;185521c090==z{8ho6=4;{<3b5?033W8n>63>c7823a=:9h31==h4}r3:<?6=:r7:n?4>759>5f0=>91v<o6:18087f93;3;63>b38227=:9h31=::4}r3b5?6=;r7:m<4>759>5d4=<116=o=5499~w4db290?w0?n2;47?[4b;27:o:4>7e9>5dg=99l0q~?69;296~;6j:0:;9521b5925=z{8kj6=4<{<3b6?7??27:n>4>639>5dg=9>>0q~?n2;297~;6i;0:;9521`190==:9k>1855rs0`e>5<3s4;j?78;;_0f0>;6k10:;i521``955`<uz;2m7>52z?2f1<6?=16=n65619~w4ge2908w0?n3;3;3>;6j=0::?521``9522<uz;j?7>53z?2e6<6?=16=l:5499>5g3=<11v<m?:18787f<3<?7S<j5:?2g<<6?m16=lm511d8yv7>j3:1>v3>b48231=:9j31:=5rs0c`>5<4s4;j87?77:?2f0<6>;16=lm51668yv7f<3:1?v3>a58231=:9h?185521c490==z{8i:6=4;{<3b1?033W8n:63>c`823a=:9hn1==h4}r3:g?6=:r7:n;4>759>5fg=>91v<ok:18087f=3;3;63>b78227=:9hn1=::4}r3b1?6=:r7:m84>759>5g1=<11v<7k:18187e?3;<863>cc854>{t9ho1<7<t=0`4>40534;ji7?84:p5c3=839p1<h9:72894`02?:01<ml:057?xu6n=0;6?u21d:9522<58ih6<?;;|q2b6<72;q6=i;5166894ed28;87p}=5b83>6}::<n1:=5224g925=:::91=::4}r06f?6=:r798k4>759>665=9890q~<:a;296~;5;j0:;9522219542<uz8j57>55z?1e5<6?k16>l?516`897gf28=?70<n4;34f>;5i<0:;o5rs3c5>5<4s48j:7?8d:?1e2<18279ml4>0g9~w7g?2909w0<n8;34`>;5ih0:==5rs3c4>5<4s48j:7:9;<0b3?70l279m5490:p61g=838p1?:i:5:8972b28=?7p}=4883>7}::=n1=::4=36f>1><uz8?47>52z?10f<6?=16>9j5499~w7202909w0<;b;340>;5<j0?46s|24494?4|5;>n6<68;<063?70<2wx>8:50;0x972c282<70<:5;340>{t:<81<7<t=36`>4>0348>?7?84:p606=838p1?:m:0:4?84293;<86s|24:94?4|5;>m6<68;<06=?70<2wx>>950;0x975d2=201?=m:057?xu5;?0;6?u222c9522<5;9i6964}r001?6=:r79?44>759>66g=<11v?=;:18184403;<863=3887<>{t:=91<7<t=31a>4>0348?87?84:p617=838p1?=n:0:4?843:3;<86s|22d94?4|5;926<68;<074?70<2wx>>j50;0x975?282<70<<e;340>{t:=?1<7<t=31`>4>0348?:7?84:p5`5=838p1<k7:5:894c028=?7p}>e383>7}:9l<1=::4=0g4>1><uz;n=7>52z?2a0<6?=16=h85499~w4c72909w0?j4;340>;6m<0?46s|1dd94?4|58o<6<68;<3e4?70<2wx=hj50;0x94c1282<70?je;340>{t9lh1<7<t=0g6>4>034;no7?84:p5`?=838p1<k;:0:4?87bi3;<86s|1g394?4|58o36<68;<3e6?70<2wx=i>50;0x94b22=201<j;:057?xu6ko0;6?u21e19522<58n?6964}r3`a?6=:r7:h?4>759>5a5=<11v<mk:18187c93;<863>d387<>{t9mi1<7<t=0f7>4>034;oh7?84:p5ag=838p1<j<:0:4?87cj3;<86s|1e:94?4|58n96<68;<3g=?70<2wx=i850;0x94b6282<70?k7;340>{t9mo1<7<t=0f6>4>034;oj7?84:p65g=83>p1??=:037?84683;:?63=128251=::921=::4}r031?6=:r79=8490:?2bg<6?=1v?>9:181846>3<;70?ic;340>{t:9=1<7<t=334>36<5;:26<9;;|q14g<72;q6><65619>65e=9>>0q~<?d;296~;5900=<63=0d8231=z{;:m6=4={<02e?07348:<7?84:p647=838p1??m:728977528=?7p}=1283>7}::8i1:=522019522<uz8=j7>54z?132<69=16>:;51018971?28;?70<9d;340>{t:?k1<7<t=35b>36<5;<;6<9;;|q12g<72;q6>:l5619>637=9>>0q~<9c;296~;5?j0=<63=6d8231=z{;=;6=4={<04`?07348<=7?84:p624=838p1?9j:728971428=?7p}=7583>7}::>l1:=522679522<uz8<:7>52z?1<5<18279;:4>759~w71?2909w0<71;43?84003;<86s|16294?4|5;;?6<9;;<027?76;2wx=:?50;0x971>28=?70<88;327>{t9o31<7;t=305>10<5;8o6984=30e>10<5;9:6984=0db>4133ty9>:4?:3y>670=>916>?951668yv45m3:1>v3=2d8231=::;n1:=5rs313>5<5s488<7?84:?16c<182wx>><50;0x975528=?70<<1;43?x{i=<31<7?tH3cg?xh2=h0;6<uG2`f8yk32j3:1=vF=ae9~j03d290:wE<nd:m10b=83;pD?ok;|l61`<728qC>lj4}o76b?6=9rB9mi5rn443>5<6sA8jh6sa57394?7|@;ko7p`:6383>4}O:hn0qc;93;295~N5im1vb88;:182M4fl2we9;;50;3xL7gc3td>:;4?:0yK6db<ug?=;7>51zJ1ea=zf<<36=4>{I0b`>{i=?31<7?tH3cg?xh2>h0;6<uG2`f8yk31j3:1=vF=ae9~j00d290:wE<nd:m13b=83;pD?ok;|l62`<728qC>lj4}o75b?6=9rB9mi5rn453>5<6sA8jh6sa56394?7|@;ko7p`:7383>4}O:hn0qc;83;295~N5im1vb89;:182M4fl2we9:;50;3xL7gc3td>;;4?:0yK6db<ug?<;7>51zJ1ea=zf<=36=4>{I0b`>{i=>31<7?tH3cg?xh2?h0;6<uG2`f8yk30j3:1=vF=ae9~j01d290:wE<nd:m12b=83;pD?ok;|l63`<728qC>lj4}o74b?6=9rB9mi5rn4:3>5<6sA8jh6sa59394?7|@;ko7p`:8383>4}O:hn0qc;73;295~N5im1vb86;:182M4fl2we95;50;3xL7gc3td>4;4?:0yK6db<ug?3;7>51zJ1ea=zf<236=4>{I0b`>{i=131<7?tH3cg?xh20h0;6<uG2`f8yk3?j3:1=vF=ae9~j0>d290:wE<nd:m1=b=83;pD?ok;|l6<`<728qC>lj4}o7;b?6=9rB9mi5rn4;3>5<6sA8jh6sa58394?7|@;ko7p`:9383>4}O:hn0qc;63;295~N5im1vb87;:182M4fl2we94;50;3xL7gc3td>5;4?:0yK6db<ug?2;7>51zJ1ea=zf<336=4>{I0b`>{i=031<7?tH3cg?xh21h0;6<uG2`f8yk3>j3:1=vF=ae9~j0?d290:wE<nd:m1<b=83;pD?ok;|l6=`<728qC>lj4}o7:b?6=9rB9mi5rn4c3>5<6sA8jh6sa5`394?7|@;ko7p`:a383>4}O:hn0qc;n3;295~N5im1vb8o;:182M4fl2we9l;50;3xL7gc3td>m;4?:0yK6db<ug?j;7>51zJ1ea=zf<k36=4>{I0b`>{i=h31<7?tH3cg?xh2ih0;6<uG2`f8yk3fj3:1=vF=ae9~j0gd290:wE<nd:m1db=83;pD?ok;|l6e`<728qC>lj4}o7bb?6=9rB9mi5rn4`3>5<6sA8jh6sa5c394?7|@;ko7p`:b383>4}O:hn0qc;m3;295~N5im1vb8l;:182M4fl2we9o;50;3xL7gc3td>n;4?:0yK6db<ug?i;7>51zJ1ea=zf<h36=4>{I0b`>{i=k31<7?tH3cg?xh2jh0;6<uG2`f8yk3ej3:1=vF=ae9~j0dd290:wE<nd:m1gb=83;pD?ok;|l6f`<728qC>lj4}o7ab?6=9rB9mi5rn4a3>5<6sA8jh6sa5b394?7|@;ko7p`:c383>4}O:hn0qc;l3;295~N5im1vb8m;:182M4fl2we9n;50;3xL7gc3td>o;4?:0yK6db<ug?h;7>51zJ1ea=zf<i36=4>{I0b`>{i=j31<7?tH3cg?xh2kh0;6<uG2`f8yk3dj3:1=vF=ae9~j0ed290:wE<nd:m1fb=83;pD?ok;|l6g`<728qC>lj4}o7`b?6=9rB9mi5rn4f3>5<6sA8jh6sa5e394?7|@;ko7p`:d383>4}O:hn0qc;k3;295~N5im1vb8j;:182M4fl2we9i;50;3xL7gc3td>h;4?:0yK6db<ug?o;7>51zJ1ea=zf<n36=4>{I0b`>{i=m31<7?tH3cg?xh2lh0;6<uG2`f8yk3cj3:1=vF=ae9~j0bd290:wE<nd:m1ab=83;pD?ok;|l6``<728qC>lj4}o7gb?6=9rB9mi5rn4g3>5<6sA8jh6sa5d394?7|@;ko7p`:e383>4}O:hn0qc;j3;295~N5im1vb8k;:182M4fl2we9h;50;3xL7gc3td>i;4?:0yK6db<ug?n;7>51zJ1ea=zf<o36=4>{I0b`>{i=l31<7?tH3cg?xh2mh0;6<uG2`f8yk3bj3:1=vF=ae9~j0cd290:wE<nd:m1`b=83;pD?ok;|l6a`<728qC>lj4}o7fb?6=9rB9mi5rn4d3>5<6sA8jh6sa5g394?7|@;ko7p`:f383>4}O:hn0qc;i3;295~N5im1vb8h;:182M4fl2we9k;50;3xL7gc3td>j;4?:0yK6db<ug?m;7>51zJ1ea=zf<l36=4>{I0b`>{i=o31<7?tH3cg?xh2nh0;6<uG2`f8yk3aj3:1=vF=ae9~j0`d290:wE<nd:m1cb=83;pD?ok;|l6b`<728qC>lj4}o7eb?6=9rB9mi5rn723>5<6sA8jh6sa61394?7|@;ko7p`90383>4}O:hn0qc8?3;295~N5im1vb;>;:182M4fl2we:=;50;3xL7gc3td=<;4?:0yK6db<ug<;;7>51zJ1ea=zf?:36=4>{I0b`>{i>931<7?tH3cg?xh18h0;6<uG2`f8yk07j3:1=vF=ae9~j36d290:wE<nd:m25b=83;pD?ok;|l54`<728qC>lj4}o43b?6=9rB9mi5rn733>5<6sA8jh6sa60394?7|@;ko7p`91383>4}O:hn0qc8>3;295~N5im1vb;?;:182M4fl2we:<;50;3xL7gc3td==;4?:0yK6db<ug<:;7>51zJ1ea=zf?;36=4>{I0b`>{i>831<7?tH3cg?xh19h0;6<uG2`f8yk06j3:1=vF=ae9~j37d290:wE<nd:m24b=83;pD?ok;|l55`<728qC>lj4}o42b?6=9rB9mi5rn703>5<6sA8jh6sa63394?7|@;ko7p`92383>4}O:hn0qc8=3;295~N5im1vb;<;:182M4fl2we:?;50;3xL7gc3td=>;4?:0yK6db<ug<9;7>51zJ1ea=zf?836=4>{I0b`>{i>;31<7?tH3cg?xh1:h0;6<uG2`f8yk05j3:1=vF=ae9~j34d290:wE<nd:m27b=83;pD?ok;|l56`<728qC>lj4}o41b?6=9rB9mi5rn713>5<6sA8jh6sa62394?7|@;ko7p`93383>4}O:hn0qc8<3;295~N5im1vb;=;:182M4fl2we:>;50;3xL7gc3td=?;4?:0yK6db<ug<8;7>51zJ1ea=zf?936=4>{I0b`>{i>:31<7?tH3cg?xh1;h0;6<uG2`f8yk04j3:1=vF=ae9~j35d290:wE<nd:m26b=83;pD?ok;|l57`<728qC>lj4}o40b?6=9rB9mi5rn763>5<6sA8jh6sa65394?7|@;ko7p`94383>4}O:hn0qc8;3;295~N5im1vb;:;:182M4fl2we:9;50;3xL7gc3td=8;4?:0yK6db<ug<?;7>51zJ1ea=zf?>36=4>{I0b`>{i>=31<7?tH3cg?xh1<h0;6<uG2`f8yk03j3:1=vF=ae9~j32d290:wE<nd:m21b=83;pD?ok;|l50`<728qC>lj4}o47b?6=9rB9mi5rn773>5<6sA8jh6sa64394?7|@;ko7p`95383>4}O:hn0qc8:3;295~N5im1vb;;;:182M4fl2we:8;50;3xL7gc3td=9;4?:0yK6db<ug<>;7>51zJ1ea=zf??36=4>{I0b`>{i><31<7?tH3cg?xh1=h0;6<uG2`f8yk02j3:1=vF=ae9~j33d290:wE<nd:m20b=83;pD?ok;|l51`<728qC>lj4}o46b?6=9rB9mi5rn743>5<6sA8jh6sa67394?7|@;ko7p`96383>4}O:hn0qc893;295~N5im1vb;8;:182M4fl2we:;;50;3xL7gc3td=:;4?:0yK6db<ug<=;7>51zJ1ea=zf?<36=4>{I0b`>{i>?31<7?tH3cg?xh1>h0;6<uG2`f8yk01j3:1=vF=ae9~j30d290:wE<nd:m23b=83;pD?ok;|l52`<728qC>lj4}o45b?6=9rB9mi5rn753>5<6sA8jh6sa66394?7|@;ko7p`97383>4}O:hn0qc883;295~N5im1vb;9;:182M4fl2we::;50;3xL7gc3td=;;4?:0yK6db<ug<<;7>51zJ1ea=zutwKLNu8968:<0650=hvLMLt0|BCT~{GH
$2g144<,[o}e~g`n;"2*73>(-;0<<<40123456789:;<=>?0163?56789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?012344=69?1:97GAPTV9VAGCL[_7=94?>04850<NFY__6Z\NDEPV842=87;=7<85IORVP?TCIMNY@1?::1<22>712@D[YY4XR@FGVI:6=3:5=6<=;2;23>2=AGZ^X7ZFENDES@GK4:0;2<944;KMTPR=\@ODJKYJAT>0>58a3<|xt8>{fg3273+6981=87GAPTV9@L:1;3:5=?594;KMTPR=l`d7:>4?>00821<H]]Z^X7J@<7194;733?>1CXZ_UU8gkpr;>:0;295952224>>=AGZ^X7JFP=594;77310BB][[:VGO82<768:047GAPTV9S@S;?3:5=<57:NWWTPR=LFZ7;7>1169;>JSSX\^1INM_ASKW]91=87;0595601;2<>?=G\^[YY4kauc\gjsi|521<3<49@18EIJ>3HYRBNQ]EF:8FPUXAGLD=6M=;B41?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOV<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F4?ADN\LXY>6JF5:FJ85813MC7==09;EK?54813MC7=?09;EK?56813MC7=909;EK?50813MC7=;09;EK?52813MC7=509;EK?5<823MC7=384DH>14;0<L@69=384DH>16;0<L@69?384DH>10;0<L@699384DH>12;0<L@69;384DH>1<;0<L@6953;4DH>1:3=CA59;2;5KI=12:3=CA5992;5KI=10:3=CA59?2;5KI=16:3=CA59=2;5KI=14:3=CA5932;5KI=1::0=CA595:6JF<52=2>BN4=;5:6JF<50=2>BN4=95:6JF<56=2>BN4=?5:6JF<54=2>BN4==5:6JF<5:=2>BN4=3596JF<5<5?AO;=94=7IG350<5?AO;=;4=7IG352<5?AO;==4=7IG354<5?AO;=?4=7IG356<5?AO;=14=7IG358<6?AO;=7<0HD290?48@L:197<0HD292?:8@L:1;3:5:6JF<71=1>BN4?4>7IG37?78@L:?6<1OE1716:FJT969>2NB\1?16:FJT949>2NB\1=16:FJT929>2NB\1;16:FJT90902NB\1950?48@LV;?7?0HB2?>79GK9776?1OC1?>>79GK9756?1OC1?<>79GK9736?1OC1?:>79GK9716?1OC1?8>79GK97?6?1OC1?6>49GK979>2ND0?>16:FL8779>2ND0?<16:FL8759>2ND0?:16:FL8739>2ND0?816:FL8719>2ND0?616:FL87?9=2ND0?09;EM?75813ME7?<09;EM?77813ME7?>09;EM?71813ME7?809;EM?73813ME7?:09;EM?7=813ME7?40:;EM?7;0<LF6?<384DN>75;0<LF6?>384DN>77;0<LF6?8384DN>71;0<LF6?:384DN>73;0<LF6?4384DN>7=;3<LF6?2;5KO=73:3=CG5?:2;5KO=71:3=CG5?82;5KO=77:3=CG5?>2;5KO=75:3=CG5?<2;5KO=7;:3=CG5?2285KO=7=2>BH4?:5:6J@<73=2>BH4?8546J@<7194;0<LF6=?3;4DN>5:0=CG5=596J@<9<6?AI;17<0HB^30?48@JV;97<0HB^32?48@JV;;7<0HB^34?48@JV;=7<0HB^36?:8@JV;?3:5:6J@P=5=2>BHW[OLo6KLCQCQMQ_;87i0INM_ASKW]979k2OHO]O]IU[?6;e<MJI[M_G[Y=1=g>CDKYKYEYW34?a8AFEWI[C_U1;1c:G@GUGUA]S7:3k4EBASEWOSQ5=1<3m4EBASEWOSQ5=5n6KA_DA@[WCFLj1NBRKLC^Q@VPDd3LDTINMPWSKWA46<N:K9J>>?FGC1BC67:2L?==5IA20EEC4A8::M<K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J5:Dbhvc63N90KCJ>;H08M54<A880E?<4I208M14<A<20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40><AGC_\R>98:KMMQVX8>20ECG[P^2;<>OIA]ZT<464IOKWTZ6F02CEEY^P0C:8MKOSXV:H46GAIUR\4A><AGC_\R>J8:KMMQVX8O30ECG[SUCWA2=NF@^T<=94IOKW[5703@DBXR>=7:KMMQY7;>1BBDZP0558MKOSW9?<7D@FT^253>OIA]U;;:5FNHV\4=1<AGC_S=78;HLJPZ6F?2CEEYQ?B69JJLRX8J=0ECG[_1F4?LHN\V:N;6GAIU]3B2=NF@^T==94IOKW[4703@DBXR?=7:KMMQY6;>1BBDZP1558MKOSW8?<7D@FT^353>OIA]U:;:5FNHV\5=1<AGC_S<78;HLJPZ7F?2CEEYQ>B69JJLRX9J=0ECG[_0F4?LHN\V;N;6GAIU]2B2=NF@^T>=94IOKW[7703@DBXR<=7:KMMQY5;>1BBDZP2558MKOSW;?<7D@FT^053>OIA]U9;:5FNHV\6=1<AGC_S?78;HLJPZ4F?2CEEYQ=B69JJLRX:J=0ECG[_3F4?LHN\V8N;6GAIU]1B2=NF@^T?=94IOKW[6703@DBXR==7:KMMQY4;>1BBDZP3558MKOSW:?<7D@FT^153>OIA]U8;:5FNHV\7=1<AGC_S>78;HLJPZ5F?2CEEYQ<B69JJLRX;J=0ECG[_2F4?LHN\V9N;6GAIU]0B2=NF@^T8=94IOKW[1703@DBXR:=7:KMMQY3;>1BBDZP4558MKOSW=?<7D@FT^653>OIA]U?;:5FNHV\0=1<AGC_S978;HLJPZ2F?2CEEYQ;B69JJLRX<J=0ECG[_5F4?LHN\V>N;6GAIU]7B2=NF@^T9=94IOKW[0703@DBXR;=7:KMMQY2;>1BBDZP5558MKOSW<?<7D@FT^753>OIA]U>;:5FNHV\1=1<AGC_S878;HLJPZ3F?2CEEYQ:B69JJLRX=J=0ECG[_4F4?LHN\V?N;6GAIU]6B2=NF@^T:=94IOKW[3703@DBXR8=7:KMMQY1;>1BBDZP6558MKOSW??<7D@FT^453>OIA]U=;:5FNHV\2=1<AGC_S;78;HLJPZ0F?2CEEYQ9B69JJLRX>J=0ECG[_7F4?LHN\V<N;6GAIU]5B2=NF@^T;=94IOKW[2703@DBXR9=7:KMMQY0;>1BBDZP7558MKOSW>?<7D@FT^553>OIA]U<;:5FNHV\3=1<AGC_S:78;HLJPZ1F?2CEEYQ8B69JJLRX?J=0ECG[_6F4?LHN\V=N;6GAIU]4B<=NF@^TJDBJ3:KMR6=NGK80@D84LNCGAA1<DFMBOLB;;MWW51=K]]8?7A[[359OQQ233E__:85BSFMM1>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL<2DDBH?4O09S3>V)=1lT@95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]E09R`>TFEK9=_I^PEYRb?WBFLMXG0=0m;SFB@ATK48:5n6\KAEFQH9766k1YHLJKRM>26;d<ZMKOH_B312<a?WBFLMXG0<:1d:PGEABUD5;>6=0m;SFB@ATK48?5m6\KAEFQH979i2XOMIJ]L=0=e>TCIMNY@1=1a:PGEABUD5>5m6\KAEFQH939i2XOMIJ]L=4=e>TCIMNY@191a:PGEABUD525m6\KAEFQH9?9i2XOMIJ]U=2=f>TCIMNYY1??>c9Q@DBCZ\6:=3l4RECG@WS;9;4i7_JNDEPV8459l2XOMIJ]U=37>58e3[NJHI\Z<06=e>TCIMNYY1?1a:PGEABU]585m6\KAEFQQ959i2XOMIJ]U=6=e>TCIMNYY1;1a:PGEABU]5<5m6\KAEFQQ919i2XOMIJ]U=:=e>TCIMNYY1716:PGGIMK>2XOOAEZ5:PGAKJ23[NNBX94REP@HNJ03[NYOAEZ6:PFCFCK>2XNKNKZ9:Pfwpjs49427_k|umv?5;?<Zly~`y2=>89Qavsk|59556\jstnw818>3[oxyaz35?;8V`urd}6=245]erwop91912Xnxb{<9<2?V2<[HLN46]NVDFBPD1<[KC_I_\>3:QJIZEHDECXEB@PCIG@O==TADUFCIK7;RIJTZVNF?1XCX\LE49PVSGK<2YX^A:4SRPVf>RNMFLM_^\MLc9WM@IANZYYNXj4THGLBCQBIE6;2i5[IDMEBRCFD5;5h6ZFENDES@GK4;4m7YGJOGDTADJ;;3:5h6ZFENDES@GK4:4o7YGJOGDTADS;87n0XDK@FGUFEP:66m1_EHAIFVGBQ949n2^BIBHIWDCV86<76m1_EHAIFVGBQ959<2^R\H8<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im Mymq[fhszVoeS_k|umv213=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*ei|{Unb;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=2=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'DidyczPcnwmpZe~484=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|dSnw32?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\g|:46?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8081<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7:38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6;2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>2:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}692;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>0:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6?2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>6:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6=2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}632;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>3:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6:2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>1:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{682;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>7:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6>2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>5:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6<2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>;:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idycz30?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|d0<0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,gjsi|5859l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjq:46<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov?0;3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{<4<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(kfex1815`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+firf}6<28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkr;07?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw[53>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{_07:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|dS?;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphsW:?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw[13>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{_47:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|dS;;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphsW>?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw[=3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6'jky~t`jt=2=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)di{xrbhz31?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+fguzpdnx1<15c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-`ewt~fl~7?38>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/fov|+ajS9W%k`?!m042?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'mfW<S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6'ng~t#ib[3_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#jczx/en_6[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/fov|+ajS=W%k`}!mr76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;87?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?31?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;:7?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?33?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;<7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P0^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P1^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P2^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P3^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P4^llp56788?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#no}rxlfp969=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%hm|vndv?5;3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'jky~t`jt=0=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)di{xrbhz33?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW=S!gl3-i4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'ng~t#ib[0_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_7[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS:W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW9S!glq-iv323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7<3;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?5;323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7>3;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?7;323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\4Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\5Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\6Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\7Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\0Zhh|9:;<<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m`mq266>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`khv6=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*tfeVof|ywPfc]j[jt789:=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%ym`Qjmqvz[cdXaVey<=>?14:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/scn[`kw|pUb8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.pbiZtcWldTe<;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:59o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(Eje~byQlotlw[f;97?n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kdg|dSnaznu]`}979W{~>n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JkfexRm`uov\g|:56<o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^az878Xz}?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kdg|dSnaznu]`}959=l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_b{?7;Yu|<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^az8182m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPcx>7:Zts=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_b{?1;3b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQly=7=[wr2j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPcx>5:0c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRmv<7<\vq3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQly=5=1`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSnw37?]qp0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRbzt=2=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSa{{<1<\MKPX8<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^zlv969=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_ymq8482k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPxnp?6;3d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQwos>0:0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRv`r=6=1f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSua}<4<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!BcnwmpZeh}g~Ttb|36?7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Clotlw[firf}Usc28>4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@m`uov\gjsi|Vrd~1615g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Anaznu]`kphsWqey050Pru71?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"\jstnw8582:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Qavsk|5;59?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(Zly~`y2=>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#_k|umv?7;353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Pfwpjs4=4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Umzgx1;1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$^h}zlu>5:04<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Sgpqir;?7?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Tb{|f050:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{<1<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw848292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphs4;4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|d0>0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{<5<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw808292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphs4?4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|d0:0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{<9<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[5373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrX9<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}U99=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexR=:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_573?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov\106<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqY1=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,gjsi|V=><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dS5;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd0>3:02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqYc95;5995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj><3<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[a7;;7??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*eh}g~Th<2;>468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu]g5939==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,gjsi|Vn:0;0:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_e3?3;333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrXl86328=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk1^267>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[a7X9<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}Uo=R<:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_e3\705<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqYc9V>>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi?P5418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu]g5Z02;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphsWm;T;8=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk1^:6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*efz{seiy2?>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,gdtuqgo0<0:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.abvwim}6928l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> c`pq}kcs4:4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"ibuy,di^6Z&ng:"`?91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(`eR;V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*aj}q$laV<R.fop*hu192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&mfyu hmZ1^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"ibuy,di^2Z&ngx"`}:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28582=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:0<0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28782=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:0>0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28182l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S=Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S<Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S?Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S>Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S9Qaou234572j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&ij~waeu>3:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f7(khxyuck{<0<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*efz{seiy2=>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,gdtuqgo0>091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.enq}(`eR:V"jc>.l355>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*aj}q$laV?R.fop*hu192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu hmZ0^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="ibuy,di^5Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.enq}(`eR>V"jc|.lq61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p6494>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x><0<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p64;4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x><2<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p64=4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_1]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_0]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_3]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_2]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_5]mkq6789;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%hc`~?539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} cnos50g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+wgjWog`Rm`mc7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l>5`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} r`o\bpjkWohTe894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZo3n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#ob_vp\akYn9<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+Heh}g~Tob{at^az8582j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Ngjsi|VidyczPcx>2:0c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/LalqkrXkfexRmv<0<\vq3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.O`kphsWje~byQly=0=1`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| MbmvjqYdg|dSnw32?]qp0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/LalqkrXkfexRmv<2<6a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Tot2<>^pw1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| MbmvjqYdg|dSnw34?7f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Uhu1:1_sv6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Tot2:>4g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vir080Pru7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Uhu1815d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWjs7:3Q}t4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vir0:0:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWe0=092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXd|~7<3QFNW]31f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| MbmvjqYdg|dSua}<1<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Ttb|31?7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Usc2=>4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1=15b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWqey090:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXpfx793;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&Ghcx`{_bmvjqYg{6=28m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DidyczPcnwmpZ~hz5=59n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Eje~byQlotlw[}iu414>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)JkfexRm`uov\|jt;07Uyx8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'[oxyaz30?71?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"\jstnw8482:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Qavsk|5859?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Zly~`y2<>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#_k|umv?0;353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Pfwpjs4<4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)Umzgx181539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$^h}zlu>4:04<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Sgpqir;07?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~7<3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idycz31?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov?6;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkr;;7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~783;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idycz35?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov?2;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkr;?7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~743;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczP0428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu]215=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZ4282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsW:?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~T88>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~byQ:519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^464>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!lotlw[2373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrX0<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}Uo=1>1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f28482<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm;7>3;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczPd0>0:02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc95>5995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexRj><4<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!lotlw[a7;>7??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~Th<28>468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu]g59>9=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,gjsi|Vn:S=;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczPd0]216=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb6W;?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~Th<Q<529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f2[1343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl8U>9>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexRj>_770?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov\`4Y0=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,gjsi|Vn:S5lk;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(~hfbh#m|ts-qehjhgyQ;Q#|nm/p,r0Yig`dbx#|nm.QGT+QNLLU[^DC<6/llafebz{$XH]:k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/uos[wgjW{nTic:j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/uos[wgjW{nTic?<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPre]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld8h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlm?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uyij2?>2g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~T~hi32?1g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySkh_11g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySkh_31g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*quW{ySl}}ef63?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*quW{ySl}}ef]g516<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfcZb5;j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]`khd4l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig74m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^pfc969;l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]qab:66:n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\v`aX8:n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\v`aX9j1^_H\PVHQJFIC43_IH56XFEV]W]UC13^OG0=09;VGO84813^OG0?09;VGO86813^OG0909;VGO80813^OG0;07;VGO82<76?1\IA28>79TAP:76?1\IX2>>79TAP:56?1\IX2<>79TAP:36?1\IX2:>79TAP:1611\IX28:1<5?RCR4>4j7Z\NDEPO858e3^XJHI\C<02=f>QUIMNY@1?>>c9TVDBCZE6:>3l4WSCG@WJ;9:4i7Z\NDEPO8429l2]YMIJ]L=36>58e3^XJHI\C<07=e>QUIMNY@1?1a:UQEABUD585m6Y]AEFQH959i2]YMIJ]L=6=e>QUIMNY@1;1a:UQEABUD5<5m6Y]AEFQH919i2]YMIJ]L=:=e>QUIMNY@171a:UQEABU]5:5n6Y]AEFQQ9776k1\^LJKRT>25;d<_[KOH_[313<a?RTFLMX^0<=1d:UQEABU]5;?6=0m;VPB@ATR48>5m6Y]AEFQQ979i2]YMIJ]U=0=e>QUIMNYY1=1a:UQEABU]5>5m6Y]AEFQQ939i2]YMIJ]U=4=e>QUIMNYY191a:UQEABU]525m6Y]AEFQQ9?9>2]YOAEC6:UQGIMR=2]YICB:;VPFJP7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML3<PFXHU;5WSUNJF2=_[]ULBI94XRV\RFEe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2igg<:4cupo[coag<;9t;:|t^t355d>3j~y`Rhffn726}03{}U}<!hffn]dakcui}eyS{7P3-y`[dbcWgmhSnaasupbmkr:8%iTm}~cibcwmjhX|pznSlvfs<2/gZgwxechmyg`n^vzt`Yuijb6<!mPaqromfgsafdTxt~j_sf`l86+kVk{|aglaukljZr~xlU|mnf20-a\euvkajkeb`Ptxrf[rbd`4:'oRopmk`eqohfV~r|hQxrbj>4)eXiqcTmij}_vkgpm;4:%iTmugPaswpawYpam~c1<"l_`zj[dqr{lxT{dj{h<3/gZgaVh~h|Pwhfwl87+kVkseRjnt`]tmaro5?>'oRowi^kg[roc|a7? nQnxh]qqvcuW~coxe3>,b]b|lYsqyo6<!mPayk\spubzV}bhyf21-a\e}otW}njxlQxievk932+kVkse~Q{ddqw[roc|a7? nQnxhq\plbX`nd06#c^c{mvYsclo{Szgkti?7(fYfp`yTx~z}b^uj`qn:<%iTmug|_uwpawYpam~c19"l_`zjwZr~xl7; nQlololjZekgja6=!mPcnwmpZr~xl7; nQkauc\gjsi|V}bhyf29-a\```f}e~Tzlbze<@oekmT|{cek!mPdhl\slbs`4<? nQkio]tmaroWhrb0?#c^fjjZqnl}bT~lmg=20/gZbnfV}bhyfPreak932+kVnbbRyfduj\sdeo5:8'oRjfn^uj`qnXmic1;:#c^fjjZqnl}bT{mg=3.`[air|VxxxRxnl<2/gZbh}}U|eizg=76/gZciikfnSb`cr?3(fYbfhhgiR||t^qzjf;6$jUn~a}_hliafrnggUu}k20-a\awthzVcefhm{inl\p|vbWhrb0>#c^gqvjtXag`noyg`n^vzt`Yuijb6<!mPesplvZoiblieb`Ptxrf[wbd`4:'oRk}rnp\mklbk}cdbRzvpd]tefn:8%iTi|`r^kmn`esafdTxt~j_vf`l86+kVoy~b|PiohfgqohfV~r|hQxrbj>4)eXnhgb`t3yisvf|0*dWog`Rhcafq\vvrX~hf6<!mPh`q\eikh{}Una}zv=1.`[mgtWhffc~zPftno95*dWakxSlvf_`pvw`t:8%iTdl}Payk\erstm{7; nQgar]b|lYe}zoy1="l_icp[d~nW{nToeoandn>4)eX`hyTmugPrtqfv86+kVbjRowi^uq[fnffgog1="l_icp[d~nW~xi3?,b]kevYfp`yTxio{a<2/gZnf{Vkse~Q{ddqw95*dWakxSlvfs^vj`86+kVbjRowir]wo`cw59&hSeo|_`zjwZrkiz~6<!mPh`q\e}otW}xnmiw21-a\lduXiqcxSy}{rc?3(fYoizUjtd}Pttqfv86+kVbjRlncjws95*dWakxSio{a^alqkr:9%iTdl}Pd`vb[firf}yTmug|=1.`[mgtWmkmRm`uovp[wgd`4:'oRfns^fbpdYdg|dR|kci?3(fYoizUomyoPcnwmpvYpijb6<!mPh`q\`drfWje~by}Pweak95*dWakxSio{a^alqkrtW~xhd0>#c^jbwZoi|Vigg0>#c^jbwZkf{}oySnk20-a\lduXelgbbdzPfhnf95*dWakxSbxjrgnls86+kVbjR~}of]ehdatWhrb0>#c^jbwZvugnUm`li|_sc`l86+kVbjR~}of]ehdatW{nhd0>#c^jbwZvugnUm`li|_vc`l86+kVbjR~}of]ehdatW~nhd0>#c^jbwZvugnUm`li|_vp`l86+kVbjR|k_ecweZeh}g~6<!mPh`q\vaYu{}7; nQgar]qwq;6$jUcm~Q|l`tf[fc:8%iTdl}Pssqw95*dWakxSx`kesdokr;7$jUcm~Qyamkg95*dWakxSz|Pabi>4)eX`hyT{Qkauc\gjsi|4:'oRfns^uq[wus59&hSdcldofjqgsafdTxt~j=1.`[lkwdlgnbyo{inl\p|vbWhrb0?#c^knticjmg~jxdaa_u{saZtfka7: nQfmqnfi`hsi}cdbRzvpd]q`fn:9%iTe`~celgmpdrnggUu}kPw`ak94*dW`g{`hcjnucwmjhX|pznSzjlh<3/gZojxeoficznthmm[qwmV}yoe3>,b]jjlrX{U{by|Pv`n>4)eXag~n~kole^vzt`;7$jUfi`a}y^vzt`;6$jUfekQiimg\jdkb5Kfjbf]{rhld(fYj{neeS{oc=1.`[jvsadcqmyg`n^ol``;7$jUdzh|ilnu\hjq:8%iT|kco`f\hdrbfjs6=!mPpsgokdbXzlmx1="l_qpjiZ`nnfUu}k2500{37*dWyxdkRkbpu{\pmtb{aUj~k}t^tbh84+kVzycjQjmqvz[qnumzbTm~}jru]ueiYfp`y6==<=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPr`ak9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW{nhd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^ubgm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|hnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\sweo58:9>!mPpsmd[`kw|pUdk|h^lfcdrbWkg1>"l_qplcZcjx}sTxt~j=1.`[uthoVof|ywPtxrf[d~n{4:'oR~}of]fiur~W}s{iR|nci?3(fYwzfmTi`~{y^vzt`Yuljb6<!mPpsmd[`kw|pUu}kPw`ak95*dWyxdkRkbpu{\p|vbW~nhd0>#c^rqkbYbey~rSywe^uqgm;7$jU{~biPftno[qnumzbTm~}jru]uei;299&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkWhrb0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_sc`l877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW{nhd0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_vc`l877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW~nhd0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_vp`l877::&hS}|`g^dvhiYs`{oxdR`jg`vf[sgk5<:2 nQrne\bpjkW}s{i0>#c^rqkbYa}efTxt~j_`zjw86+kVzycjQiumn\p|vbW{khd0>#c^rqkbYa}efTxt~j_sf`l86+kVzycjQiumn\p|vbW~khd0>#c^rqkbYa}efTxt~j_vf`l86+kVzycjQiumn\p|vbW~xhd0>#c^pbgmYsqyo6<!mPre]geqgXkfexRyfduj>=)eXzmUoi}zg=431(fYulVlyi|3>,b]q`Zvi|{U|eizg=8.`[wbd`V~r|h3?,b]qabYtd`inS`ake^c{mv;7$jUyijQ|lhaf[hicmVxjoe3?,b]qabYtd`inS`ake^pggm;7$jUyijQ|lhaf[hicmV}joe3?,b]qabYtd`inS`ake^uggm;7$jUyijQ|lhaf[hicmV}yoe3?,b]p}keozfdbvh|Psucda84+kVehh|ilnu\hjq:8%iTy~kPcnonkkYh~lxm`by20-a\qvcXkfgfccQznegqbiip59&hSx}j_egeepjsWzoxd`hs<2/gZstmVndyyQ}su?2(fYr{lUnon3?,b]vw`YbkjUjtd}20-a\qvcXmjiT~lmg=1.`[pubWlihSjlh<2/gZstmVohoRynci?3(fYr{lUnonQxdbj>4)eX}zoTinmPwsak95*dW|ynShcmeeff`Ztbo4:'oR{|e^djbj71Wofjk~3?,b]vw`Yao~Thlzn_bmvjq;7$jU}magk_mmt95*dW~khdRzvpd?3(fYpljbTxt~j=1.`[rtXijaT`by20-a\swYci}kTob{at^uj`qn:1%iT{Qkeqvk9075$jU|~Rjjpuj\e}ot58:98!mPws]gauroW{khd0?9,b]tvZbbx}bT~img=0210)eX{Uoi}zg_vc`l871$jU|~Rjjpuj\saeo58:98!mPws]gauroW~xhd0?9,b]tvZ`umx7: nQxr^rmpwYpam~c14"l_vp\tkruW~coxeQnxhq>55*dW~xT|cz}_vkgpmYuijb68!mPws]sjqtX`ndR|kci?24)eX{U{by|PwhfwlZqfka7? nQxr^rmpwYpam~cSzjlh<33(fYpzVzexQxievk[rtd`4>'oRy}_sgptjhtmVfjxh`ly<3/gZqukaUu}k20|0a?frudVlbjb;>2y47wqYq8%lbjbQheogqeqiuW3T?!urd:fbpdYdg|d0=0k;ecweZeh}g~7=3j4d`vb[firf}692i5kauc\gjsi|595h6jnt`]`kphs4=4o7io{a^alqkr;=7n0hlzn_bmvjq:16m1omyoPcnwmp919n2njxlQlotlw8=<76m1omyoPcnwmp9>9>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;1<l`d7=;08;ekm8419?2nbb1?7>69gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1<l`d7>908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;1<l`d7??08;ekm8659?2nbb1=;>69gmk:4=7=0hd`337<4?aoi4:=5;6jfn=1;:2=cag685384dhl?7;1<l`d78=08;ekm8179?2nbb1:=>69gmk:3;7=0hd`345<4?aoi4=?5;6jfn=65:2=cag6?;394dhl?0=803mce09716:fjj929?2nbb1;?>69gmk:297=0hd`353<4?aoi4<95;6jfn=77:2=cag6>9394dhl?13803mce08917:fjj93?6>1oec2:9?48`lh;=7=0hd`361<4?aoi4?;5;6jfn=41:<=cag6=?7>17:fjj9046?1oec29>79gmk:06?1oec27>79gmk:>6>1ocxz30?:8`jss48:546j`uu>25;><lf0<<18:flqq:6;720hb{{<06=<>bh}}6:9364dnww840902ndyy2>7?:8`jss482546j`uu>2=;1<lf0<07;emvp947611ocxz320<;?air|589255kotv?668?3me~x1<;>99gkpr;:<437iazt=05:==cg|~7>:07;emvp94?611ocxz328<4?air|58546j`uu>04;><lf0>?18:flqq:4:720hb{{<21=<>bh}}688364dnww863902ndyy2<6?:8`jss4:=546j`uu>0<;><lf0>717:flqq:4611ocxz341<;?air|5>:255kotv?078?3me~x1:<>99gkpr;<=437iazt=66:==cg|~78;07;emvp920611ocxz349<;?air|5>22:5kotv?0;><lf08>18:flqq:29720hb{{<40=<>bh}}6>?364dnww802902ndyy2:5?:8`jss4<<546j`uu>63;><lf08618:flqq:217=0hb{{<4<;?air|5<;255kotv?248?3me~x18=>`9gkpr;>:0;255kotv?26803me~x1817:flqq:06>1ocxz38?58`jss404>7hctx78bdjtm;l0jdh`_fgmawgsg{U}5R=# Ykomk~'KFXN,Jkaescwkw&6<'??7k{cl99mcfdraen>7jPeo18vvr23~xTicsO@q5a1>FGp:>i6K4;:0yP62<4<00:484>320b31<50;??wc=;7;38j62?2?1/?9;532d8yV422:>26<6::0106d132;299k5\16697ac=83;8??o84;0;635<[;?1?ik50;3077g0<383>;;4d26a>5<628qX>:4<4882<0<6;:8j;94=837`?sR6k<0;6<4>:01eV402:>26<6::0106d132;299n5+3159523<^:>=6?uz16f95>s6?l0;7p*>878g?g53j3:14k4mc;30b~N48<1/=i;535`8^4c=;r;j6<?5f;'524=l<1/?9:53ea8 74=;=n0(?8535a8m62f2900e>ji:188m6c72900c>jn:188m6c62900c>jk:188k630290/=5653448j4>02910c>;::18'5=>=;<<0b<68:098k633290/=5653448j4>02;10c>;<:18'5=>=;<<0b<68:298k635290/=5653448j4>02=10c>;>:18'5=>=;<<0b<68:498k637290/=5653448j4>02?10c>:i:18'5=>=;<<0b<68:698k62b290/=5653448j4>02110c>j::18'5=>=;m>0b<68:198k6b4290/=5653e68j4>02810c>j=:18'5=>=;m>0b<68:398k6b6290/=5653e68j4>02:10c>mi:18'5=>=;m>0b<68:598k6eb290/=5653e68j4>02<10c>mk:18'5=>=;m>0b<68:798k6ed290/=5653e68j4>02>10c>mm:18'5=>=;m>0b<68:998k6ef290/=5653e68j4>02010c>m6:18'5=>=;m>0b<68:`98k6e?290/=5653e68j4>02k10c>m8:18'5=>=;m>0b<68:b98k6e1290/=5653e68j4>02m10c>m;:18'5=>=;m>0b<68:d98k6e4290/=5653e68j4>02o10c>m=:18'5=>=;m>0b<68:028?j5d93:1(<67:2f7?k7??3;:76a<c183>!7?039o86`>86826>=h;kl1<7*>8980`1=i91=1=>54o2`f>5<#9121?i:4n0:4>42<3f9ih7>5$0:;>6b33g;3;7?:;:m0ff<72-;347=k4:l2<2<6>21d?ol50;&2<=<4l=1e=5951698k6d>290/=5653e68j4>028207b=m8;29 4>?2:n?7c?77;3:?>i4j>0;6)?78;1g0>h60>0:m65`3c494?"60108h95a19595g=<g:h>6=4+19:97a2<f82<6<m4;n1a0?6=,8236>j;;o3;3?7c32e8n>4?:%3;<?5c<2d:4:4>e:9l7g4=83.:454<d59m5=1=9o10c>l>:18'5=>=;m>0b<68:328?j5e83:1(<67:2f7?k7??38:76a<ad83>!7?039o86`>86816>=h;hn1<7*>8980`1=i91=1>>54o2c`>5<#9121?i:4n0:4>72<3f9jn7>5$0:;>6b33g;3;7<:;:m0ed<72-;347=k4:l2<2<5>21d?l750;&2<=<4l=1e=5952698k6g?290/=5653e68j4>02;207b=n7;29 4>?2:n?7c?77;0:?>i4i?0;6)?78;1g0>h60>09m65`3`794?"60108h95a19596g=<g:k86=4+19:97a2<f82<6?m4;n1b6?6=,8236>j;;o3;3?4c32e8m<4?:%3;<?5c<2d:4:4=e:9l7d6=83.:454<d59m5=1=:o10c>7i:18'5=>=;m>0b<68:228?j5>m3:1(<67:2f7?k7??39:76a<9e83>!7?039o86`>86806>=h;0i1<7*>8980`1=i91=1?>54o2;a>5<#9121?i:4n0:4>62<3f92m7>5$0:;>6b33g;3;7=:;:m0`<<72-;347=k4:l2<2<4>21d?i650;&2<=<4l=1e=5953698k6b0290/=5653e68j4>02:207b=k6;29 4>?2:n?7c?77;1:?>i4l90;6)?78;1g0>h60>08m65`3b794?"60108h95a19597g=<g:hj6=4+19:97a2<f82<6>m4;n1bb?6=,8236>j;;o3;3?5c32e8m94?:%3;<?5c<2d:4:4<e:9l7<?=83.:454<d59m5=1=;o10e>7;:18'5=>=;090b<68:198m6?5290/=5653818j4>02810e>7>:18'5=>=;090b<68:398m6?7290/=5653818j4>02:10e>6j:18'5=>=;090b<68:598m6>c290/=5653818j4>02<10e>6l:18'5=>=;090b<68:798m6>e290/=5653818j4>02>10e>6n:18'5=>=;090b<68:998m6>>290/=5653818j4>02010e>67:18'5=>=;090b<68:`98m6>0290/=5653818j4>02k10e>69:18'5=>=;090b<68:b98m6>2290/=5653818j4>02m10e>6<:18'5=>=;090b<68:d98m6>5290/=5653818j4>02o10e>6>:18'5=>=;090b<68:028?l5?83:1(<67:2;0?k7??3;:76g<7g83>!7?0392?6`>86826>=n;>o1<7*>8980=6=i91=1=>54i25g>5<#9121?4=4n0:4>42<3`9<o7>5$0:;>6?43g;3;7?:;:k03g<72-;347=63:l2<2<6>21b?:o50;&2<=<41:1e=5951698m61?290/=5653818j4>028207d=87;29 4>?2:387c?77;3:?>o4??0;6)?78;1:7>h60>0:m65f36794?"601085>5a19595g=<a:=?6=4+19:97<5<f82<6<m4;h147?6=,8236>7<;o3;3?7c32c8;?4?:%3;<?5>;2d:4:4>e:9j727=83.:454<929m5=1=9o10e>9?:18'5=>=;090b<68:328?l51n3:1(<67:2;0?k7??38:76g<6e83>!7?0392?6`>86816>=n;?i1<7*>8980=6=i91=1>>54i24a>5<#9121?4=4n0:4>72<3`9=m7>5$0:;>6?43g;3;7<:;:k02<<72-;347=63:l2<2<5>21b?;650;&2<=<41:1e=5952698m600290/=5653818j4>02;207d=96;29 4>?2:387c?77;0:?>o4><0;6)?78;1:7>h60>09m65f37694?"601085>5a19596g=<a:<96=4+19:97<5<f82<6?m4;h155?6=,8236>7<;o3;3?4c32c8:=4?:%3;<?5>;2d:4:4=e:9j70`=83.:454<929m5=1=:o10e>;j:18'5=>=;090b<68:228?l52l3:1(<67:2;0?k7??39:76g<5b83>!7?0392?6`>86806>=n;<h1<7*>8980=6=i91=1?>54i27b>5<#9121?4=4n0:4>62<3`9>57>5$0:;>6?43g;3;7=:;:k0==<72-;347=63:l2<2<4>21b?4950;&2<=<41:1e=5953698m6?1290/=5653818j4>02:207d=65;29 4>?2:387c?77;1:?>o40o0;6)?78;1:7>h60>08m65f39694?"601085>5a19597g=<a:=26=4+19:97<5<f82<6>m4;h15a?6=,8236>7<;o3;3?5c32c8:>4?:%3;<?5>;2d:4:4<e:9j70>=83.:454<929m5=1=;o10n>:=:182>5<7s-;o97j8;I175>N48<1dh;4?::a715=83;1<7>t$0f6>6613A9?=6F<049l5=2=831vn<hm:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd6nj0;684?:1y'5a3=l;1C?9?4H226?!ca281b=k4?::k15?6=3`8?6=44i3:94?=h91k1<75rb0dg>5<2290;w)?k5;f1?M5392B8<85+eg82?l7a2900e??50;9j61<722c947>5;n3;e?6=3th:jh4?:483>5}#9m?1h?5G3538L6623-om6<5f1g83>>o593:17d<;:188m7>=831d=5o50;9~f4`a290>6=4?{%3g1?b53A9?=6F<049'ac<63`;m6=44i3394?=n:=0;66g=8;29?j7?i3:17pl=0183>0<729q/=i;5d39K717<@::>7)ki:09j5c<722c9=7>5;h07>5<<a;21<75`19c94?=zj;::6=4::183!7c=3n97E=;1:J040=#mo0:7d?i:188m77=831b>94?::k1<?6=3f;3m7>5;|`147<72<0;6=u+1e79`7=O;=;0D>>:;%ge>4=n9o0;66g=1;29?l432900e?650;9l5=g=831vn?><:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd6l10;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg7b13:1?7>50z&2`0<4<91C?9?4H226?l442900e<9<:188k4>42900qo?k9;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn<kn:180>5<7s-;o97=;0:J004=O;9?0e?=50;9j525=831d=5=50;9~f4bf290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a5`d=8391<7>t$0f6>6273A9?=6F<049j66<722c:;>4?::m2<6<722wi=il50;694?6|,8n>6<9l;I175>N48<1b>>4?::k1e?6=3`;ii7>5;n3;7?6=3th:in4?:283>5}#9m?1?9>4H262?M57=2c9?7>5;h347?6=3f;3?7>5;|`2`f<72=0;6=u+1e7952e<@:>:7E=?5:k17?6=3`8j6=44i0`f>5<<g8286=44}c3f`?6=;3:1<v*>d48005=O;=;0D>>:;h00>5<<a8=86=44o0:0>5<<uk;oh7>54;294~"6l<0:;n5G3538L6623`886=44i3c94?=n9ko1<75`19194?=zj8on6=4<:183!7c=39?<6F<409K753<a;91<75f16194?=h9191<75rb0ff>5<3290;w)?k5;34g>N4<81C?=;4i3194?=n:h0;66g>bd83>>i60:0;66sm1dd94?5=83:p(<j::263?M5392B8<85f2283>>o6?:0;66a>8283>>{e9ml1<7:50;2x 4b228=h7E=;1:J040=n::0;66g=a;29?l7em3:17b?73;29?xd6n90;6>4?:1y'5a3=;=:0D>:>;I131>o5;3:17d?83;29?j7?;3:17pl>f083>6<729q/=i;53528L6263A9;96g=3;29?l70;3:17b?73;29?xd5:o0;694?:1y'5a3=l:1C?9?4H226?!ca2=1b=k4?::k1<?6=3`;357>5;n3;e?6=3th9>n4?:583>5}#9m?1h>5G3538L6623-om695f1g83>>o503:17d?79;29?j7?i3:17pl=a283>1<729q/=i;5d09K717<@::>7)ki:09j5c<722c987>5;h0;>5<<g82j6=44}c0b0?6=<3:1<v*>d48g5>N4<81C?=;4$dd95>o6n3:17d<;:188m7>=831d=5o50;9~f7`229086=4?{%3g1?b73A9?=6F<049K5a=#9>h1?il4$dd95>o6n3:17d<7:188k4>f2900qo<ie;297?6=8r.:h84k0:J004=O;9?0D<j4$05a>6be3-om6<5f1g83>>o503:17b?7a;29?xd5n=0;6>4?:1y'5a3=l91C?9?4H226?M7c3-;<n7=kb:&fb?7<a8l1<75f2983>>i60h0;66sm2ga94?3=83:p(<j::e68L6263A9;96F>d:&23g<4lk1b=k4?::k15?6=3`836=44i0::>5<<g82j6=44}c0e`?6=;3:1<v*>d48g4>N4<81C?=;4H0f8 41e2:ni7)ki:09j5c<722c947>5;n3;e?6=3th9j>4?:483>5}#9m?1h95G3538L6623A;o7)?8b;1gf>o6n3:17d<>:188m7>=831b=5750;9l5=g=831vn?hm:187>5<7s-;o97j<;I175>N48<1/ik4;;h3e>5<<a;21<75f19;94?=h91k1<75rb3db>5<3290;w)?k5;f0?M5392B8<85+eg87?l7a2900e?650;9j5=?=831d=5o50;9~f663290?6=4?{%3g1?b43A9?=6F<049'ac<33`;m6=44i3:94?=n9131<75`19c94?=zj::86=4;:183!7c=3n87E=;1:J040=#mo0?7d?i:188m7>=831b=5750;9l5=g=831vn?:n:180>5<7s-;o97=;0:J004=O;9?0e?=50;9j525=831d=5=50;9~f72>29086=4?{%3g1?5382B88<5G3178m75=831b=:=50;9l5=5=831vn?=j:187>5<7s-;o97?8c:J004=O;9?0e?=50;9j6d<722c:nh4?::m2<6<722wi>9650;194?6|,8n>6>:?;I175>N48<1b>>4?::k236<722e:4>4?::a66e=83>1<7>t$0f6>41d3A9?=6F<049j66<722c9m7>5;h3aa?6=3f;3?7>5;|`102<72:0;6=u+1e79716<@:>:7E=?5:k17?6=3`;<?7>5;n3;7?6=3th9?l4?:583>5}#9m?1=:m4H262?M57=2c9?7>5;h0b>5<<a8hn6=44o0:0>5<<uk8?:7>53;294~"6l<088=5G3538L6623`886=44i050>5<<g8286=44}c00<?6=<3:1<v*>d4823f=O;=;0D>>:;h00>5<<a;k1<75f1cg94?=h9191<75rb366>5<4290;w)?k5;174>N4<81C?=;4i3194?=n9>91<75`19194?=zj;9=6=4;:183!7c=3;<o6F<409K753<a;91<75f2`83>>o6jl0;66a>8283>>{e:=>1<7=50;2x 4b22:>;7E=;1:J040=n::0;66g>7283>>i60:0;66sm22694?2=83:p(<j::05`?M5392B8<85f2283>>o5i3:17d?me;29?j7?;3:17pl=4283>6<729q/=i;53528L6263A9;96g=3;29?l70;3:17b?73;29?xd5;;0;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg43:3:1?7>50z&2`0<4<91C?9?4H226?l442900e<9<:188k4>42900qo<<1;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn?89:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd5><0;684?:1y'5a3=l;1C?9?4H226?!ca281b=k4?::k15?6=3`8?6=44i3:94?=h91k1<75rb340>5<2290;w)?k5;f1?M5392B8<85+eg82?l7a2900e??50;9j61<722c947>5;n3;e?6=3th9:?4?:483>5}#9m?1h?5G3538L6623-om6<5f1g83>>o593:17d<;:188m7>=831d=5o50;9~f703290>6=4?{%3g1?b53A9?=6F<049'ac<63`;m6=44i3394?=n:=0;66g=8;29?j7?i3:17pl=6083>0<729q/=i;5d39K717<@::>7)ki:09j5c<722c9=7>5;h07>5<<a;21<75`19c94?=zj;<;6=4::183!7c=3n97E=;1:J040=#mo0:7d?i:188m77=831b>94?::k1<?6=3f;3m7>5;|`11`<72<0;6=u+1e79`1=O;=;0D>>:;%ge>1=n9o0;66g=1;29?l4?2900e<66:188k4>f2900qo<:f;291?6=8r.:h84k2:J004=O;9?0(hh51:k2b?6=3`8:6=44i3694?=n:10;66a>8`83>>{e:>21<7;50;2x 4b22m80D>:>;I131>"bn3;0e<h50;9j64<722c987>5;h0;>5<<g82j6=44}c043?6==3:1<v*>d48g6>N4<81C?=;4$dd95>o6n3:17d<>:188m72=831b>54?::m2<d<722wi>:850;794?6|,8n>6i<4H262?M57=2.nj7?4i0d94?=n:80;66g=4;29?l4?2900c<6n:188yg40=3:197>50z&2`0<c:2B88<5G3178 ``=92c:j7>5;h02>5<<a;>1<75f2983>>i60h0;66sm26694?3=83:p(<j::e08L6263A9;96*jf;38m4`=831b><4?::k10?6=3`836=44o0:b>5<<uk8<?7>55;294~"6l<0o>6F<409K753<,ll1=6g>f;29?l462900e?:50;9j6=<722e:4l4?::a624=83?1<7>t$0f6>a4<@:>:7E=?5:&fb?7<a8l1<75f2083>>o5<3:17d<7:188k4>f2900qo<81;291?6=8r.:h84k2:J004=O;9?0(hh51:k2b?6=3`8:6=44i3694?=n:10;66a>8`83>>{e:>:1<7;50;2x 4b22m80D>:>;I131>"bn3;0e<h50;9j64<722c987>5;h0;>5<<g82j6=44}c0ab?6=;3:1<v*>d48005=O;=;0D>>:;h00>5<<a8=86=44o0:0>5<<uk8ii7>53;294~"6l<088=5G3538L6623`886=44i050>5<<g8286=44}c0a7?6=<3:1<v*>d4823f=O;=;0D>>:;h00>5<<a;k1<75f1cg94?=h9191<75rb3`g>5<4290;w)?k5;174>N4<81C?=;4i3194?=n9>91<75`19194?=zj;h:6=4;:183!7c=3;<o6F<409K753<a;91<75f2`83>>o6jl0;66a>8283>>{e:ki1<7=50;2x 4b22:>;7E=;1:J040=n::0;66g>7283>>i60:0;66sm2`d94?2=83:p(<j::05`?M5392B8<85f2283>>o5i3:17d?me;29?j7?;3:17pl=bc83>6<729q/=i;53528L6263A9;96g=3;29?l70;3:17b?73;29?xd5im0;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg4ei3:1?7>50z&2`0<4<91C?9?4H226?l442900e<9<:188k4>42900qo<nb;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn?l6:180>5<7s-;o97=;0:J004=O;9?0e?=50;9j525=831d=5=50;9~f7g>290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a6g>=8391<7>t$0f6>6273A9?=6F<049j66<722c:;>4?::m2<6<722wi>l950;694?6|,8n>6<9l;I175>N48<1b>>4?::k1e?6=3`;ii7>5;n3;7?6=3th9n:4?:283>5}#9m?1?9>4H262?M57=2c9?7>5;h347?6=3f;3?7>5;|`1e3<72=0;6=u+1e7952e<@:>:7E=?5:k17?6=3`8j6=44i0`f>5<<g8286=44}c0gf?6==3:1<v*>d48g6>N4<81C?=;4$dd95>o6n3:17d<>:188m72=831b>54?::m2<d<722wi>io50;794?6|,8n>6i<4H262?M57=2.nj7?4i0d94?=n:80;66g=4;29?l4?2900c<6n:188yg4c03:197>50z&2`0<c:2B88<5G3178 ``=92c:j7>5;h02>5<<a;>1<75f2983>>i60h0;66sm2e594?3=83:p(<j::e08L6263A9;96*jf;38m4`=831b><4?::k10?6=3`836=44o0:b>5<<uk8o57>55;294~"6l<0o>6F<409K753<,ll1=6g>f;29?l462900e?:50;9j6=<722e:4l4?::a6a0=83?1<7>t$0f6>a4<@:>:7E=?5:&fb?7<a8l1<75f2083>>o5<3:17d<7:188k4>f2900qo<k5;291?6=8r.:h84k2:J004=O;9?0(hh51:k2b?6=3`8:6=44i3694?=n:10;66a>8`83>>{e:m91<7;50;2x 4b22m>0D>:>;I131>"bn3>0e<h50;9j64<722c947>5;h3;=?6=3f;3m7>5;|`1`1<72<0;6=u+1e79`7=O;=;0D>>:;%ge>4=n9o0;66g=1;29?l432900e?650;9l5=g=831vn?kk:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd5mj0;684?:1y'5a3=l;1C?9?4H226?!ca281b=k4?::k15?6=3`8?6=44i3:94?=h91k1<75rb3ga>5<2290;w)?k5;f1?M5392B8<85+eg82?l7a2900e??50;9j61<722c947>5;n3;e?6=3th9il4?:483>5}#9m?1h?5G3538L6623-om6<5f1g83>>o593:17d<;:188m7>=831d=5o50;9~f7c>290>6=4?{%3g1?b53A9?=6F<049'ac<63`;m6=44i3394?=n:=0;66g=8;29?j7?i3:17pl=e983>0<729q/=i;5d39K717<@::>7)ki:09j5c<722c9=7>5;h07>5<<a;21<75`19c94?=zj;o<6=4::183!7c=3n97E=;1:J040=#mo0:7d?i:188m77=831b>94?::k1<?6=3f;3m7>5;|`1a3<72<0;6=u+1e79`7=O;=;0D>>:;%ge>4=n9o0;66g=1;29?l432900e?650;9l5=g=831vn?k::186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd5080;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg4?83:187>50z&2`0<6?j1C?9?4H226?l442900e?o50;9j5gc=831d=5=50;9~f71a290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a62c=83>1<7>t$0f6>41d3A9?=6F<049j66<722c9m7>5;h3aa?6=3f;3?7>5;|`13a<72=0;6=u+1e7952e<@:>:7E=?5:k17?6=3`8j6=44i0`f>5<<g8286=44}c0:0?6=<3:1<v*>d4823f=O;=;0D>>:;h00>5<<a;k1<75f1cg94?=h9191<75rb3;0>5<3290;w)?k5;34g>N4<81C?=;4i3194?=n:h0;66g>bd83>>i60:0;66sm28094?2=83:p(<j::05`?M5392B8<85f2283>>o5i3:17d?me;29?j7?;3:17pl=9083>1<729q/=i;516a8L6263A9;96g=3;29?l4f2900e<lj:188k4>42900qo<60;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn?>l:187>5<7s-;o97?8c:J004=O;9?0e?=50;9j6d<722c:nh4?::m2<6<722wi>=l50;694?6|,8n>6<9l;I175>N48<1b>>4?::k1e?6=3`;ii7>5;n3;7?6=3th9<l4?:583>5}#9m?1=:m4H262?M57=2c9?7>5;h0b>5<<a8hn6=44o0:0>5<<uk8;57>54;294~"6l<0:;n5G3538L6623`886=44i3c94?=n9ko1<75`19194?=zj;:36=4;:183!7c=3;<o6F<409K753<a;91<75f2`83>>o6jl0;66a>8283>>{e:8l1<7:50;2x 4b228=h7E=;1:J040=n::0;66g=a;29?l7em3:17b?73;29?xd59l0;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg46l3:187>50z&2`0<6?j1C?9?4H226?l442900e?o50;9j5gc=831d=5=50;9~f77d290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a64d=83>1<7>t$0f6>41d3A9?=6F<049j66<722c9m7>5;h3aa?6=3f;3?7>5;|`045<72:0;6=u+1e79521<@:>:7E=?5:&fb??<am31<75fd`83>>i60:0;66sm2g594?5=83:p(<j::054?M5392B8<85+eg8:?lb>2900eio50;9l5=5=831vn?h?:187>5<7s-;o97?88:J004=O;9?0(hh5ce9j`<<722com7>5;hfa>5<<g8286=44}c0b1?6=;3:1<v*>d48232=O;=;0D>>:;%ge><=nl00;66gka;29?j7?;3:17pl=9d83>6<729q/=i;51658L6263A9;96*jf;3;?lb>2900eio50;9l5=5=831vn?6m:180>5<7s-;o97?87:J004=O;9?0(hh5199j`<<722com7>5;n3;7?6=3th9>44?:283>5}#9m?1=:94H262?M57=2.nj7?7;hf:>5<<amk1<75`19194?=zj;;=6=4<:183!7c=3;<;6F<409K753<,ll1=55fd883>>oci3:17b?73;29?xd51m0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<d<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2983>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=84?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd51j0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<<<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2683>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=94?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd51k0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<=<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2783>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=>4?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd51h0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<2<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2483>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=?4?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd5;90;6>4?:1y'5a3=9>=0D>:>;I131>"bn330ei750;9j`d<722e:4>4?::a66`=8381<7>t$0f6>4113A9?=6F<049'ac<>3`n26=44o0:0>5<<uk88h7>52;294~"6l<0:;;5G3538L6623-om645fd883>>i60:0;66sm22`94?4=83:p(<j::055?M5392B8<85+eg8:?lb>2900c<6<:188yg4413:1>7>50z&2`0<6??1C?9?4H226?!ca201bh44?::m2<6<722wi>>950;094?6|,8n>6<99;I175>N48<1/ik46;hf:>5<<g8286=44}c001?6=:3:1<v*>d48233=O;=;0D>>:;%ge><=nl00;66a>8283>>{e::91<7<50;2x 4b228==7E=;1:J040=#mo027dj6:188k4>42900qo<m4;296?6=8r.:h84>779K717<@::>7)ki:89j`<<722e:4>4?::a6g4=8381<7>t$0f6>4113A9?=6F<049'ac<>3`n26=44o0:0>5<<uk8i<7>52;294~"6l<0:;;5G3538L6623-om645fd883>>i60:0;66sm2`g94?4=83:p(<j::055?M5392B8<85+eg8:?lb>2900c<6<:188yg4fk3:1>7>50z&2`0<6??1C?9?4H226?!ca201bh44?::m2<6<722wi>lo50;094?6|,8n>6<99;I175>N48<1/ik46;hf:>5<<g8286=44}c0b<?6=:3:1<v*>d48233=O;=;0D>>:;%ge><=nl00;66a>8283>>{e:=h1<7<50;2x 4b228==7E=;1:J040=#mo027dj6:188k4>42900qo<l0;296?6=8r.:h84>779K717<@::>7)ki:89j`<<722e:4>4?::a5`6=83>1<7>t$0f6>41?3A9?=6F<049'ac<f3`n26=44iec94?=nlk0;66a>8283>>{e9l;1<7:50;2x 4b228=37E=;1:J040=#mo09<6gk9;29?lbf2900eil50;9l5=5=831vn<k=:187>5<7s-;o97?88:J004=O;9?0(hh5219j`<<722com7>5;hfa>5<<g8286=44}c3f7?6=<3:1<v*>d4823==O;=;0D>>:;%ge>76<am31<75fd`83>>ocj3:17b?73;29?xd6m=0;694?:1y'5a3=9>20D>:>;I131>"bn38;7dj6:188mag=831bho4?::m2<6<722wi=h;50;694?6|,8n>6<97;I175>N48<1/ik4=0:kg=?6=3`nj6=44ie`94?=h9191<75rb0g5>5<3290;w)?k5;34<>N4<81C?=;4$dd95g=nl00;66gka;29?lbe2900c<6<:188yg7b?3:187>50z&2`0<6?11C?9?4H226?!ca28h0ei750;9j`d<722con7>5;n3;7?6=3th9>h4?:683>5}#9m?1=:o4H262?M57=2.nj7ml;hf:>5<<amk1<75fdc83>>ock3:17djk:188mac=831d=5=50;9~f7g5290<6=4?{%3g1?70i2B88<5G3178 ``=kl1bh44?::kge?6=3`ni6=44iea94?=nlm0;66gke;29?j7?;3:17pl>e983>1<729q/=i;516:8L6263A9;96*jf;3a?lb>2900eio50;9j`g<722e:4>4?::a5a0=83?1<7>t$0f6>41>3A9?=6F<049'ac<6:2co57>5;hfb>5<<amh1<75fdb83>>i60:0;66sm25394?4=83:p(<j::052?M5392B8<85fd983>>i60:0;66sm2c494?4=83:p(<j::052?M5392B8<85fd983>>i60:0;66sm2dg94?7783:1<v*>d482<g=O;=;0D>>:;[3f>a}b2891;7;58;49g?d=9<0:87??:04952<zfkl1<6*l9;ae?!ef2jl0(nl5cg9'5f6=ko1/=;k53:&22c<43-nm6>5+e180?!c62:1/i?4<;%g0>6=#m=087)k::29'a3<43-o<6>5+e980?!c>2:1/il4<;%ga>6=#mj087)ki:39'5f0=:2.m<7=4$g397>"a:390(k=53:&e0?5<,o?1?6*i6;18 c1=;2.m47=4$g;97>"ai390(kl53:&eg?5<,on1?6*ie;18 c`=;2.:<=4<;%335?5<,8:96>5+11197>"68=087)??5;18 4612:1/==953:&24=<43-;;57=4$02b>6=#99h1?6*>0b80?!77l390(<>j:29'55`=;2.:==4<;%325?5<,8;96>5+10197>"69=087)?>5;18 4712:1/=<953:&25=<43-;:57=4$03b>6=#98h1?6*>1b80?!76l390(<?j:29'54`=;2.:>=4<;%315?5<,8896>5+13197>"6:=087)?=5;18 4412:1/=?953:&26=<43-;957=4$00b>6=#9;h1?6*>2b80?!75l390(<<j:29'57`=;2.:?=4<;%305?5<,8996>5+12197>"6;=087)?<5;18 4512:1/=>953:&27=<43-;857=4$01b>6=#9:h1?6*>3b80?!74l390(<=j:29'56`=;2.:8=4<;%375?5<,8>96>5+15197>"6<=087)?;5;18 4212:1/=9953:&20=<43-;?57=4$06b>6=#9=h1?6*>4b80?!73l390(<:j:29'51`=;2.:9=4<;%365?5<,8?96>5+14197>"6==087)?:5;18 4312:1/=8953:&21=<43-;>57=4$07b>6=#9<h1?6*>5b80?!72l390(<;j:29'50`=;2.::=4<;%355?5<,8<96>5+17197>"6>=087)?95;18 4012:1/=;953:&22=<43-;=57=4$04b>6=#9?h1?6*>6b80?!71l390(<9?:0:1?!7d:3;h7)?l3;3ab>"bl390(hk53:k2f5<722c:mk4?::k07`<722c8?i4?::k2g=<722c:o:4?::k2gd<72A;h865f1b;94?N6k=10e<oj:188m4gc2900e>=l:188m65e2900e<l=:188m4d62900e<l;:188m4d42900c<m>:188k7?=831b::4?:%3;<?013g;3;7>4;h46>5<#9121:;5a19595>=n>=0;6)?78;45?k7??3807d8<:18'5=>=>?1e=5953:9j24<72-;34789;o3;3?2<3`<;6=4+19:923=i91=1965f5g83>!7?03<=7c?77;48?l3b290/=565679m5=1=?21b9i4?:%3;<?013g;3;764;h7`>5<#9121:;5a1959=>=n=k0;6)?78;45?k7??3k07d;n:18'5=>=>?1e=595b:9j1<<72-;34789;o3;3?e<3`?36=4+19:923=i91=1h65f5783>!7?03<=7c?77;g8?l32290/=565679m5=1=n21b994?:%3;<?013g;3;7??;:k67?6=,8236;84n0:4>47<3`?96=4+19:923=i91=1=?54i4394?"6010=:6`>86827>=n=90;6)?78;45?k7??3;?76g;f;29 4>?2?<0b<68:078?l2b290/=565679m5=1=9?10e9j50;&2<=<1>2d:4:4>7:9j0g<72-;34789;o3;3?7?32c?m7>5$0:;>30<f82<6<74;h6:>5<#9121:;5a19595d=<a=21<7*>89852>h60>0:n65f4683>!7?03<=7c?77;3`?>o3>3:1(<67:748j4>028n07d:::18'5=>=>?1e=5951d98m12=83.:45496:l2<2<6n21b8>4?:%3;<?013g;3;7<?;:k76?6=,8236;84n0:4>77<3`>;6=4+19:923=i91=1>?54i2d94?"6010=:6`>86817>=n;l0;6)?78;45?k7??38?76g<d;29 4>?2?<0b<68:378?l5d290/=565679m5=1=:?10e>l50;&2<=<1>2d:4:4=7:9j7d<72-;34789;o3;3?4?32c857>5$0:;>30<f82<6?74;h1;>5<#9121:;5a19596d=<a:=1<7*>89852>h60>09n65f3483>!7?03<=7c?77;0`?>o4<3:1(<67:748j4>02;n07d=<:18'5=>=>?1e=5952d98m64=83.:45496:l2<2<5n21b?<4?:%3;<?013g;3;7=?;:k04?6=,8236;84n0:4>67<3`8m6=4+19:923=i91=1??54i3g94?"6010=:6`>86807>=n:m0;6)?78;45?k7??39?76g=c;29 4>?2?<0b<68:278?l0e290/=565679m5=1=;?10e;o50;&2<=<1>2d:4:4<7:9j2<<72-;34789;o3;3?5?32c=47>5$0:;>30<f82<6>74;h41>5<#9121:;5a19597d=<a<=1<7*>89852>h60>08n65f4b83>!7?03<=7c?77;1`?>o393:1(<67:748j4>02:n07d=9:18'5=>=>?1e=5953d98m7d=83.:45496:l2<2<4n21b;94?:%3;<?143g;3;7>4;h51>5<#9121;>5a19595>=n?80;6)?78;50?k7??3807d9?:18'5=>=?:1e=5953:9j2c<72-;3479<;o3;3?2<3`<n6=4+19:936=i91=1965f6e83>!7?03=87c?77;48?l0d290/=565729m5=1=?21b=4=50;&2<=<61;1e=5950:9j5<7=83.:454>939m5=1=921b=4>50;&2<=<61;1e=5952:9j5=`=83.:454>939m5=1=;21b=5k50;&2<=<61;1e=5954:9j5=b=83.:454>939m5=1==21b=4m50;&2<=<61;1e=5956:9j5<d=83.:454>939m5=1=?21b=4o50;&2<=<61;1e=5958:9j5<?=83.:454>939m5=1=121b=4650;&2<=<61;1e=595a:9j5<1=83.:454>939m5=1=j21b=4850;&2<=<61;1e=595c:9j5<3=83.:454>939m5=1=l21b=4:50;&2<=<61;1e=595e:9j5=e=83.:454>939m5=1=n21b=l=50;&2<=<6i;1e=5950:9j5d7=83.:454>a39m5=1=921b=l>50;&2<=<6i;1e=5952:9j5<`=83.:454>a39m5=1=;21b=4k50;&2<=<6i;1e=5954:9j5de=83.:454>a39m5=1==21b=ll50;&2<=<6i;1e=5956:9j5dg=83.:454>a39m5=1=?21b=l750;&2<=<6i;1e=5958:9j5d>=83.:454>a39m5=1=121b=l950;&2<=<6i;1e=595a:9j5d0=83.:454>a39m5=1=j21b=l;50;&2<=<6i;1e=595c:9j5d2=83.:454>a39m5=1=l21b=4j50;&2<=<6i;1e=595e:9j777=83.:454<219m5=1=821b?<h50;&2<=<4:91e=5951:9j74c=83.:454<219m5=1=:21b?<j50;&2<=<4:91e=5953:9j74e=83.:454<219m5=1=<21b?<l50;&2<=<4:91e=5955:9j77g=83.:454<219m5=1=>21b??750;&2<=<4:91e=5957:9j77>=83.:454<219m5=1=021b??950;&2<=<4:91e=5959:9j770=83.:454<219m5=1=i21b??;50;&2<=<4:91e=595b:9j772=83.:454<219m5=1=k21b??=50;&2<=<4:91e=595d:9j774=83.:454<219m5=1=m21b?<o50;&2<=<4:91e=595f:9j767=83.:454<319m5=1=821b??h50;&2<=<4;91e=5951:9j77c=83.:454<319m5=1=:21b??j50;&2<=<4;91e=5953:9j77e=83.:454<319m5=1=<21b?>o50;&2<=<4;91e=5955:9j76?=83.:454<319m5=1=>21b?>650;&2<=<4;91e=5957:9j761=83.:454<319m5=1=021b?>850;&2<=<4;91e=5959:9j763=83.:454<319m5=1=i21b?>:50;&2<=<4;91e=595b:9j765=83.:454<319m5=1=k21b?><50;&2<=<4;91e=595d:9j77d=83.:454<319m5=1=m21b=i:50;&2<=<6l:1e=5950:J2g1=<a8n96=4+19:95a5<f82<6<5G1b68?l7c93:1(<67:0f0?k7??380D<m;;:k2`5<72-;347?k3:l2<2<43A;h865f1bd94?"6010:oh5a19594>N6k=10e<mk:18'5=>=9jo0b<68:09K5f2<3`;ho7>5$0:;>4eb3g;3;7<4H0a7?>o6kk0;6)?78;3`a>h60>087E?l4:9j74?=83.:454<199m5=1=821b?<950;&2<=<4911e=5951:9j740=83.:454<199m5=1=:21b?<;50;&2<=<4911e=5953:9j742=83.:454<199m5=1=<21b?<=50;&2<=<4911e=5955:9j744=83.:454<199m5=1=>21b?<?50;&2<=<4911e=5957:9j746=83.:454<0g9m5=1=821b?=k50;&2<=<48o1e=5951:9j75b=83.:454<0g9m5=1=:21b?=m50;&2<=<48o1e=5953:9j75d=83.:454<0g9m5=1=<21b?=o50;&2<=<48o1e=5955:9j75?=83.:454<0g9m5=1=>21b?=650;&2<=<48o1e=5957:9lf4<72-;347l?;o3;3?6<3fkm6=4+19:9f5=i91=1=65`ad83>!7?03h;7c?77;08?jgc290/=565b19m5=1=;21dmo4?:%3;<?d73g;3;7:4;ncb>5<#9121n=5a19591>=hi00;6)?78;`3?k7??3<07bo7:18'5=>=j91e=5957:9le2<72-;347l?;o3;3?><3fk=6=4+19:9f5=i91=1565`a483>!7?03h;7c?77;c8?jg3290/=565b19m5=1=j21dm>4?:%3;<?d73g;3;7m4;nc1>5<#9121n=5a1959`>=hi90;6)?78;`3?k7??3o07b7i:18'5=>=j91e=595f:9l=`<72-;347l?;o3;3?7732e2h7>5$0:;>g6<f82<6<?4;n;`>5<#9121n=5a195957=<g0h1<7*>898a4>h60>0:?65`9`83>!7?03h;7c?77;37?>i>13:1(<67:c28j4>028?07b77:18'5=>=j91e=5951798k<1=83.:454m0:l2<2<6?21d584?:%3;<?d73g;3;7?7;:m:0?6=,8236o>4n0:4>4?<3f386=4+19:9f5=i91=1=l54o8094?"6010i<6`>8682f>=h180;6)?78;`3?k7??3;h76a60;29 4>?2k:0b<68:0f8?j>a290/=565b19m5=1=9l10c5k50;&2<=<e82d:4:4>f:9l<a<72-;347l?;o3;3?4732e3o7>5$0:;>g6<f82<6??4;n:b>5<#9121n=5a195967=<g131<7*>898a4>h60>09?65`8983>!7?03h;7c?77;07?>i??3:1(<67:c28j4>02;?07b69:18'5=>=j91e=5952798k=3=83.:454m0:l2<2<5?21d494?:%3;<?d73g;3;7<7;:m;7?6=,8236o>4n0:4>7?<3f296=4+19:9f5=i91=1>l54o9394?"6010i<6`>8681f>=h?o0;6)?78;`3?k7??38h76a8e;29 4>?2k:0b<68:3f8?j1c290/=565b19m5=1=:l10c:m50;&2<=<e82d:4:4=f:9l3g<72-;347l?;o3;3?5732e<m7>5$0:;>g6<f82<6>?4;n5:>5<#9121n=5a195977=<g>21<7*>898a4>h60>08?65`7683>!7?03h;7c?77;17?>i0>3:1(<67:c28j4>02:?07bl::18'5=>=j91e=5953798kg2=83.:454m0:l2<2<4?21dn>4?:%3;<?d73g;3;7=7;:ma6?6=,8236o>4n0:4>6?<3fkh6=4+19:9f5=i91=1?l54o`394?"6010i<6`>8680f>=h1?0;6)?78;`3?k7??39h76a7b;29 4>?2k:0b<68:2f8?j>7290/=565b19m5=1=;l10c:;50;&2<=<e82d:4:4<f:9lf`<72-;347lk;o3;3?6<3fhh6=4+19:9fa=i91=1=65`bc83>!7?03ho7c?77;08?jdf290/=565be9m5=1=;21dn44?:%3;<?dc3g;3;7:4;n`;>5<#9121ni5a19591>=hj>0;6)?78;`g?k7??3<07bl9:18'5=>=jm1e=5957:9lg=<72-;347m8;o3;3?6<3fi=6=4+19:9g2=i91=1=65`c483>!7?03i<7c?77;08?je3290/=565c69m5=1=;21do>4?:%3;<?e03g;3;7:4;na1>5<#9121o:5a19591>=hk80;6)?78;a4?k7??3<07bm?:18'5=>=k>1e=5957:9~w41a290?=v3<438g2>;48:09463<0581<>;5nh09463=fc81<>;5n:09463=fb81<>;5;809?63=43817>;5;;09m63=3581e>;5;?09m63=3981e>;5;h09m63=3b81e>;5;l09m63=a7817>;5j>09?63=a681e>;5i009m63=ac81e>;5im09m63=ag81e>;5j809m63=b281e>;5?m09m63=7d81e>;5?o09m63=8181e>;50809m63=9181e>;51809m63=9381e>;51:09m63=9581e>;58109m63=0881e>;58h09m63=0c81e>;58j09m63=1c81e>;59j09m63=1e81e>;59l09m63=1g81e>;5ml0=o63=ed85`>;5ml0=i63=ed85b>;5ml0<<63=ed845>;5ml0<>63=ed840>;5ml0:n9522dg95g5<5;on6<mn;<0fa?7d1279ih4>d59>6`c=9m801?kj:0f2?84bm3;o<63=ed82gc=::lo1=nj4=3gf>4ed348ni7?lb:p5=6=833p1>:<:0:7?844938j70<n6;0b?840l38870<60;00?847038870<>b;00?84bm3;2?63=ed8064=z{8h>6=4={<3ef?4?34;n57?73:p5g0=838p1<hl:3:894cf28287p}>b683>7}:9on1>5521d`95=5<uz;i47>52z?2b`<5027:in4>829~w4d>2909w0?if;0;?87bl3;3?6s|1cc94?4|5;:;6?64=0gf>4>43ty:no4?:3y>657=:116=hh51918yv7ek3:1>v3=0381<>;6n90:4>5rs0`g>5<5s48;?7<7;<3e5?7?;2wx=i950;cx94`e2;;01<hl:33894`c2;;01<hj:33894`a2;;01?>?:33897662;;01?>=:33897642;;01<j9:0:0?xu6l10;6>u21e:95=5<58n26?=4=0gb>75<uz;o57>53z?2`<<60:16=io5229>5`d=::1v<jn:18087ci3;3?63>dc817>;6mj09?6s|1e`94?5|58ni6<6<;<3gg?4434;nh7<<;|q2`f<72:q6=im5191894bc2;901<kj:318yv7cl3:1?v3>de82<6=:9mo1>>521dd966=z{8nn6=4<{<3ga?7?;27:hk4=3:?2b5<5;2wx=ih50;0x94ba282870?i1;00?xu6m90;6>u21e:95gc<58o26<9<;<3f4?7?;2wx=h?50;1x94b>28hn70?ja;347>;6m80:4>5rs0g1>5<4s4;om7?me:?2ag<6?:16=h<51918yv7b;3:1?v3>dc82f`=:9li1=:=4=0g0>4>43ty:i94?:2y>5ae=9ko01<kk:050?87b<3;3?6s|1d794?5|58no6<lj;<3fa?70;27:i84>829~w4c12908w0?ke;3aa>;6mo0:;>521d495=5<uz;n;7>53z?2`c<6jl16=k>5161894c028287p}>e983>7}:9o;1=:=4=0g;>4>43ty:j?4?:5y]71c<58li6<6n;<3g<?4f34;n<7jn;|q2b6<72=qU?9h4=0d`>4>f34;o57<n;<3f5?bf3ty:j94?:5y]706<58lo6<6n;<3ge?4f34;n>7jn;|q2b0<72=qU?8?4=0df>4>f34;on7<n;<3f7?bf3ty:j;4?:5y]704<58lm6<6n;<3gg?4f34;n87jn;|q2b2<72=qU?8=4=323>4>f34;oh7<n;<3f1?bf3ty:j54?:5y]702<5;::6<6n;<3ga?4f34;n:7j6;|q2b<<72=qU?8;4=321>4>f34;oj7<n;<3f3?b>3ty:jl4?:2y]701<5;:86<6n;<3f<?b>3ty9<94?:3y>65>=91901?>6:318yv47=3:1>v3=0882<6=::9k1>>5rs325>5<5s48;m7?73:?14g<5;2wx>=950;0x976e282870<?c;00?xu58m0;6?u221:95gc<5;;96<6<;|q14`<72;q6>=751cg8977428287p}=0g83>7}::9k1=ok4=337>4>43ty9==4?:3y>65d=9ko01??::0:0?xu5980;6?u221a95gc<5;;=6<6<;|q152<72;q6><l51918977d2;90q~<>8;296~;59j0:4>5220f966=z{;;26=4={<02`?7?;279=h4=3:p64g=838p1??j:0:0?846n3887p}=2183>7}::8h1=ok4=306>4>43ty9><4?:3y>64e=9ko01?<9:0:0?xu5:;0;6?u220f95gc<5;8<6<6<;|q166<72;q6><k51cg8974?28287p}=2583>7}::8l1=ok4=30:>4>43ty9>l4?:3y>65e=91901?<j:eg8yv45j3:1>v3=1g82<6=::;o1hi5rs30`>5<as489o7?7a:?1b5<c1279?=4ka:?2a5<cj27:i;4ka:?2a2<ci27:i54ka:?2`3<c127:i<4kb:?2a7<cj27:i>4kb:?2a1<cj27:i84kb:?16`<ck279m?4kc:p67b=839p1?<l:3:8974a2;201?<j:0:0?xu5:o0;6?uQ3ec8974a282j7p}=3083>6}:::;1=5=4=311>75<5;>86?=4}r006?6=;r79??4>829>662=::16>9:5229~w7542908w0<<3;3;7>;5;;0:nh522519525<uz8887>53z?171<60:16>>85229>613=::1v?=::180844=3;3?63=3582f`=::=>1=:=4}r002?6=;r79?;4>829>66>=::16>985229~w7502908w0<<7;3;7>;5;?0:nh522579525<uz8847>53z?17=<60:16>>o5229>611=::1v?=6:18084413;3?63=3982f`=::=<1=:=4}r00e?6=;r79?l4>829>66e=::16>965229~w75e2908w0<<b;3;7>;5;h0:nh522559525<uz88o7>53z?17f<60:16>>k5229>61?=::1v?=k:180844l3;3?63=3b82f`=::=21=:=4}r00a?6=:r79?h4>829>61g=::1v?=i:180844n3;3?63=3d82f`=::=31=:=4}r074?6=;r79?<4>bd9>614=9>901?:>:0:0?xu5<k0;6?u225`95=5<5;>j6<9<;|q10f<72;q6>8k5299>614=9190q~<;d;296~;5=o09463=4282<6=z{;>n6=4={<054?4?348?87?73:p61`=838p1?8>:3:8972228287p}=5183>7}::?81>55225495=5<uz8>=7>52z?126<502798:4>829~w7352909w0<94;0;?84303;3?6s|24194?4|5;<>6?64=36:>4>43ty9994?:3y>630=:116>9o51918yv42=3:18v3=7181<>;5=l0:4l522379`g=::=;1h55rs375>5<3s48<=7<7;<06b?7?i279>84k9:?176<c12wx>8950;6x97152;201?8?:0:b?845>3ni70<<5;f:?xu5=10;69u226196==::?;1=5o4=305>a?<5;9<6i74}r06=?6=<r79;94=8:?127<60h16>?95dc9>66?=l01v?;n:187840=38370<93;3;e>;5:>0o563=3c8g=>{t:<h1<7:t=355>7><5;<?6<6n;<01<?be3488h7j6;|q11f<72=q6>:95299>633=91k01?<7:e;8975a2m30q~<:d;290~;5?109463=6782<d=::;31hl5225`9`<=z{;<<6=49{<044?7?i2795l4kb:?1<2<cj279=?4kb:?1a`<61016>hk51`;8yv4103:1:v3=7082<d=::0k1h4522959`<=::881h4522dg95<g<5;on6<on;|q12<<72?q6>:<519c897?e2mh01?67:e`897742mh01?kj:0;a?84bm3;jn6s|27c94?0|5;=86<6n;<0:f?b>348347j6;<027?b>348ni7?6c:?1a`<6ij1v?8m:185840<3;3m63=9b8gf>;5000on63=158gf>;5ml0:4i522dg95<c<uz8=o7>56z?130<60h16>4m5d89>6=?=l016><:5d89>6`c=91o01?kj:0;e?xu5>m0;6;u226495=g<5;3o6il4=3:b>ad<5;;>6il4=3gf>4>a348ni7?n0:p63c=83<p1?98:0:b?84>l3n270<7a;f:?846=3n270<je;3:4>;5ml0:m<5rs34e>5<1s48<47?7a:?1=`<ci2794o4ka:?153<ci279ih4>909>6`c=9h90q~<89;296~;5?m0:4>5226g966=z{;=j6=4={<04a?7?;279;k4=3:p62d=838p1?9i:0:0?84?83887p}=7b83>7}::1:1=5=4=3:2>75<uz83>7>52z?13a<6jl16>5951918yv4?;3:1>v3=7d82f`=::121=5=4}r0;0?6=:r79;k4>bd9>6=?=9190q~<75;296~;5090:nh5229c95=5<uz83:7>52z?1<4<6jl16>5l51918yv4?k3:1>v3=9182<6=::0;1>>5rs3:g>5<5s482=7?73:?1=7<5;2wx>5k50;0x97?5282870<63;00?xu50o0;6?u228195=5<5;3?6?=4}r0:1?6=:r795=4>bd9>6<g=9190q~<66;296~;5180:nh5228`95=5<uz82;7>52z?1=7<6jl16>4m51918yv4>03:1>v3=9282f`=::0n1=5=4}r0:=?6=:r79594>bd9>6<c=9190q~<6f;296~;5080:4>522`09`a=z{;k;6=4={<0:0?7?;279m?4ke:p6d7=839p1?o;:3:897g42;201?o=:0:0?xu5i:0;68u22`195=g<5;k>6io4=30f>ad<58n=6io4=3c1>ad<uz8j87>52z\0`a=::h>1=5o4}r0b2?6=;r79m;4>829>6d1=::16>o65229~w7g02908w0<n7;3;7>;5i009?63=b8817>{t:h21<7=t=3c;>4>4348j;7?me:?1f=<6?:1v?o6:18084f13;3?63=ac817>;5jh09?6s|2`c94?5|5;kj6<6<;<0b=?7em279n44>729~w7ge2908w0<nb;3;7>;5im09?63=bc817>{t:hi1<7=t=3c`>4>4348jn7?me:?1fd<6?:1v?ok:18084fl3;3?63=ag817>;5jj09?6s|2`g94?5|5;kn6<6<;<0b`?7em279no4>729~w7ga2908w0<nf;3;7>;5j809?63=be817>{t:k:1<7=t=3`3>4>4348jj7?me:?1ff<6?:1v?l>:18084e93;3?63=b2817>;5jl09?6s|2c094?5|5;h96<6<;<0a5?7em279ni4>729~w7d42909w0<m3;3;7>;5jo09?6s|2c694?5|5;h?6<6<;<0a7?7em279nh4>729~w7d22908w0<n6;3aa>;5j>0:;>522c495=5<uz8h<7>52z?1g5<60:16>oh51618yv4d93:1>v3=d281<>;5j>0:4>5rs3a1>5<5s48o87<7;<0a<?7?;2wx>n=50;0x97b22;201?l6:0:0?xu5k=0;6?u22e496==::kk1=5=4}r0`1?6=:r79h:4=8:?1fg<60:1v?m9:18184c038370<mc;3;7>{t:j=1<7<t=3f:>7><5;ho6<6<;|q1g=<72;q6>io5299>6gc=9190q~<l9;296~;5lk09463=bg82<6=z{;ij6=4;{<0f1?4?348o?7?7a:?1=d<ck279n;4k8:p6fd=83>p1?k9:3:897b3282j70<6a;fb?84f03n27p}=cb83>1}::l=1>5522e795=g<5;3i6im4=3cb>a?<uz8hh7>54z?1a=<50279h;4>8`9>6<d=lh16>lm5d89~w7eb290?w0<j9;0;?84c?3;3m63=9b8gg>;5il0o56s|2bd94?2|5;oj6?64=3f;>4>f3482o7jn;<0a4?b>3ty9h=4?:5y>6`d=:116>i7519c897?c2mi01?l=:e;8yv4c93:18v3=eb81<>;5lh0:4l5228f9`d=::k>1h45rs3f1>5<3s48nh7<7;<0gf?7?i2795h4k9:?1g5<c12wx>im50;4x97c2282j70<77;f`?845=3nh70<>2;f`?84bm399;63=ed8072=z{;no6=49{<0f2?7?i2794:4ka:?160<ci279=?4ka:?1a`<4:116>hk532:8yv4cm3:1:v3=e682<d=::121hn522349`f=::891hn522dg977?<5;on6>=6;|q1`c<72?q6>h6519c897>?2mk01?<9:ec897742mk01?kj:20b?84bm398m6s|2d294?0|5;o26<6n;<0;=?bd3489;7jl;<020?bd348ni7=>b:?1a`<4:j1v?k>:18584bi3;3m63=888ge>;5:>0om63=158ge>;5ml08=n522dg977b<uz8n>7>56z?1ag<60h16>5o5db9>67>=lj16><;5db9>6`c=;8n01?kj:20f?xu5m:0;6;u22da95=g<5;2j6io4=30;>ag<5;;>6io4=3gf>67b348ni7==f:p6`2=83<p1?kk:0:b?84?j3n270<=9;f:?846>3n270<je;12b>;5ml08?<5rs3ge>5<4s48m<7?73:?1a`<6j916>hk51`d8yv4a93:1=8u2262964=::>;1><52260964=::>91><52266964=::>?1><52264964=::>=1><5226:964=::<l1><5224g964=::?:1><52273964=::?>1><52270964=::?91><52277964=::?<1><5222295=5<58n36?=4=0g:>75<uz8m>7>524y>6`3=:816>h85209>6`1=:816>h65209>6`?=:816>ho5209>6`d=:816>hm5209>6`b=:816>i:5209>6a5=:816>i;5209>6a0=:816>i75209>6a1=:816>i65209>6ag=:816>il5209>6d3=91901?kj:232?84bm39:>63=ed8056=::lo1?<:4=3gf>672348ni7=>6:?1a`<49>16>hk530;897cb2::370<je;13=>;5ml08<l522dg975d<5;on6>>l;<0fa?57l279ih4<0d9>6`c=;8:01?kj:21f?84bm398h6s|2g194?5|5;l86<6n;<0e0?4?348m;7j6;|q1b1<72:q6>k=5209>6c2=91k01?h::3:8yv4a=3:1>v3=f482<d=::o=1hl5rs3d5>5<4s48mm7?79:?1bg<60016>k951918yv4a03:18v3=f`82<d=::o:1ho522dg95f><5;on6<m8;|q1b<<728op1<hm:36894`d2;>01<hk:36894`b2;>01<hi:36897672;>01?>>:36897652;>01?><:368974d282270<=f;3;=>;5nk0:4l52262961=::>;1>952260961=::>91>952266961=::>?1>952264961=::>=1>95226:961=::<l1>95224g95=?<5;<;6?:4=342>72<5;<?6?:4=341>72<5;<86?:4=346>72<5;<=6?:4}r0eg?6=;r79ji4=8:?1bf<60h16?=>5d89~w7`c2908w0<id;3;e>;5nj09=63=fd81<>{t:oo1<7<t=3df>4>f349;<7jn;|q1bc<72:q6?==519;89663282270=?0;3;7>{t;9;1<7=t=3c7>72<5;k86?:4=220>4>f3ty8<?4?:01x9663282j70<j5;07?84b>38?70<j7;07?84b038?70<j9;07?84bi38?70<jb;07?84bk38?70<jd;07?84c<38?70<k3;3;=>;5l<09863=d7810>;5l009863=d6810>;5l109863=d`810>;5lk0986s|35c94?25sW9?m63>fc82b>;6nj0:j63>fe82b>;6nl0:j63>fg82b>;5890:j63=0082b>;58;0:j63=0282b>;5:j0:j63=2g82b>;5i=0:j63=a282b>;48:0:j63<0582b>;5nm0:j63=fb82b>;5nl0:j63=f`82b>;5nk0:j63=f282b>;5n=0:j63=f482b>;5?90:j63=7082b>;5?;0:j63=7282b>;5?=0:j63=7482b>;5??0:j63=7682b>;5?10:j63=5g82b>;5=l0:j63=6182b>;5>80:j63=6582b>;5>;0:j63=6282b>;5><0:j63=6782b>;5m<0:j63=e782b>;5m>0:j63=e982b>;5m00:j63=e`82b>;5mk0:j63=eb82b>;5mm0:j63=d582b>;5l:0:j63=d482b>;5l?0:j63=d882b>;5l>0:j63=d982b>;5lh0:j63=dc82b>;5ml0:mh522dg95db<5;on6>=l;<0fa?54j279ih4>b39>6`c=9k;0q~=:8;296~X4=116>hk52c9~w63>2909wS=:9:?1a`<5k2wx?8o50;0xZ63f348ni7<k;|q01g<72;qU?8l4=3gf>7c<uz9>o7>52z\01f=::lo1>k5rs27g>5<5sW9>h63=ed804>{t;<o1<7<t^27f?84bm39:7p}<5g83>7}Y;<l01?kj:208yv5183:1>vP<619>6`c=;:1v>8>:181[519279ih4<4:p734=838pR>8=;<0fa?523ty8:>4?:3y]735<5;on6>84}r150?6=:rT8:9522dg972=z{:<>6=4={_151>;5ml0846s|37494?4|V:<=70<je;1:?xu4>>0;6?uQ375897cb2:k0q~=98;296~X4>116>hk53c9~w60>2909wS=99:?1a`<4k2wx?;o50;0xZ60f348ni7=k;|q02g<72;qU?;l4=3gf>6c<uz9=o7>52z\02f=::lo1?k5rs24g>5<5sW9=h63=ed874>{t;?o1<7<t^24f?84bm3>:7p}<6g83>7}Y;?l01?kj:508yv5083:1>vP<719>6`c=<:1v>9>:181[509279ih4;4:p724=838pR>9=;<0fa?223ty8;>4?:3y]725<5;on6984}r140?6=:rT8;9522dg902=z{:=>6=4={_141>;5ml0?46s|36494?4|V:==70<je;6:?xu4?>0;6?uQ365897cb2=k0q~=88;296~X4?116>hk54c9~w61>2909wS=89:?1a`<3k2wx?:o50;0xZ61f348ni7:k;|q03g<72;qU?:l4=3gf>1c<uz9<o7>52z\03f=::lo18k5rs25g>5<5sW9<h63=ed864>{t;>o1<7<t^25f?84bm3?:7p}<7g83>7}Y;>l01?kj:408yv5?83:1>vP<819>6`c==:1v>6>:181[5?9279ih4:4:p7=4=838pR>6=;<0fa?323ty84>4?:3y]7=5<5;on6884}r1;0?6=:rT849522dg912=z{:2>6=4={_1;1>;5ml0>46s|39494?4|V:2=70<je;7:?xu40>0;6?uQ395897cb2<k0q~=78;296~X40116>hk55c9~w6>>2909wS=79:?1a`<2k2wx?5o50;0xZ6>f348ni7;k;|q0<g<72;qU?5l4=3gf>0c<uz93o7>52z\0<f=::lo19k5rs2:g>5<5sW93h63=ed854>{t;1o1<7<t^2:f?84bm3<:7p}<8g83>7}Y;1l01?kj:708yv5>83:1>vP<919>6`c=>:1v>7>:181[5>9279ih494:p7<4=838pR>7=;<0fa?023ty8594?:3y]7<2<5;on6;94}r1:1?6=:rT858522dg92==z{:3=6=4={_1:2>;5ml0=56s|38594?4|V:3<70<je;4b?xu4110;6?uQ38:897cb2?h0q~=69;296~X41016>hk5749~w6?f2909wS=6a:?1a`<0>2wx?4l50;0xZ6?e348ni798;|q0=f<72;qU?4m4=3gf>2><uz92h7>52z\0=a=::lo1;45rs2;f>5<5sW92i63=ed84e>{t;0l1<7<t^2;e?84bm3=i7p}<a183>7}Y;h:01?kj:6a8yv5f93:1>vP<a09>6`c=?m1v>o=:181[5f:279ih48e:p7d5=838pR>o<;<0fa?1a3ty8m94?:3y]7d2<5;on65>4}r1b1?6=:rT8m8522dg9<4=z{:k=6=4={_1b2>;5ml03>6s|3`594?4|V:k<70<je;:0?xu4i10;6?uQ3`:897cb21>0q~=n9;296~X4i016>hk5849~w6gf2909wS=na:?1a`<?>2wx?ll50;0xZ6ge348ni768;|q0ef<72;qU?lm4=3gf>=><uz9jh7>52z\0ea=::lo1445rs2cf>5<5sW9ji63=ed8;e>{t;hl1<7<t^2ce?84bm32i7p}<b183>7}Y;k:01?kj:9a8yv5e93:1>vP<b09>6`c=0m1v>l=:181[5e:279ih47e:p7g5=838pR>l<;<0fa?>a3ty8n94?:3y]7g2<5;on64>4}r1a1?6=:rT8n8522dg9=4=z{:h=6=4={_1a2>;5ml02>6s|3c594?4|V:h<70<je;;0?xu4j10;6?uQ3c:897cb20>0q~=m9;296~X4j016>hk5949~w6df2909wS=ma:?1a`<>>2wx?ol50;0xZ6de348ni778;|q0ff<72;qU?om4=3gf><><uz9ih7>52z\0fa=::lo1545rs2`f>5<5sW9ii63=ed8:e>{t;kl1<7<t^2`e?84bm33i7p}<c183>7}Y;j:01?kj:8a8yv5d93:1>vP<c09>6`c=1m1v>m=:181[5d:279ih46e:p7f5=838pR>m<;<0fa??a3ty8o94?:3y]7f2<5;on6l>4}r1`1?6=:rT8o8522dg9e4=z{:i=6=4={_1`2>;5ml0j>6s|3b594?4|V:i<70<je;c0?xu4k10;6?uQ3b:897cb2h>0q~=l9;296~X4k016>hk5a49~w6ef2909wS=la:?1a`<f>2wx?nl50;0xZ6ee348ni7o8;|q0gf<72;qU?nm4=3gf>d><uz9hh7>52z\0ga=::lo1m45rs2af>5<5sW9hi63=ed8be>{t;jl1<7<t^2ae?84bm3ki7p}<d183>7}Y;m:01?kj:`a8yv5c93:1>vP<d09>6`c=im1v>j=:181[5c:279ih4ne:p7a5=838pR>j<;<0fa?ga3ty8h84?:3y]7a3<5;on6o?4}r1g2?6=:rT8h;522dg9f7=z{:n<6=4={_1g3>;5ml0i?6s|3e:94?4|V:n370<je;`7?xu4l00;6?uQ3e;897cb2k?0q~=kf;29b~X4lo16>k>5d`9>666=l016=h85dc9>5`1=lk16>l<5d`9>5`>=lk16=i85db9>5`6=l016=h?5d89>5`4=l016=h=5d89>5`2=l016=h;5d89>67c=l01v>k?:180[5b8279j>4>889>6ce=9130q~=j1;291~X4m816>l;5d89>67c=lh16=i85dc9>6d4=l01vqc;<7;295~N48<1vb8=7:182M57=2we9>750;3xL6623td>?l4?:0yK753<ug?8n7>51zJ040=zf<9h6=4>{I131>{i=:n1<7?tH226?xh2;l0;6<uG3178yk34n3:1=vF<049~j027290:wE=?5:m117=83;pD>>:;|l607<728qC?=;4}o777?6=9rB8<85rn467>5<6sA9;96sa55794?7|@::>7p`:4783>4}O;9?0qc;;7;295~N48<1vb8:7:182M57=2we99750;3xL6623td>8l4?:0yK753<ug??n7>51zJ040=zf<>h6=4>{I131>{i==n1<7?tH226?xh2<l0;6<uG3178yk33n3:1=vF<049~j037290:wE=?5:m107=83;pD>>:;|l617<728qC?=;4}o767?6=9rB8<85rn477>5<6sA9;96sa54794?7|@::>7p`:5783>4}O;9?0qc;:7;295~N48<1vb8;7:182M57=2we98750;3xL6623td>9l4?:0yK753<ug?>n7>51zJ040=zf<?h6=4>{I131>{i=<n1<7?tH226?xh2=l0;6<uG3178yk32n3:1=vF<049~j007290:wE=?5:m137=83;pD>>:;|l627<728qC?=;4}o757?6=9rB8<85rn447>5<6sA9;96sa57794?7|@::>7p`:6783>4}O;9?0qc;97;295~N48<1vb887:182M57=2we9;750;3xL6623td>:l4?:0yK753<ug?=n7>51zJ040=zf<<h6=4>{I131>{i=?n1<7?tH226?xh2>l0;6<uG3178yk31n3:1=vF<049~j017290:wE=?5:m127=83;pD>>:;|l637<728qC?=;4}o747?6=9rB8<85rn457>5<6sA9;96sa56794?7|@::>7p`:7783>4}O;9?0qc;87;295~N48<1vb897:182M57=2we9:750;3xL6623td>;l4?:0yK753<ug?<n7>51zJ040=zf<=h6=4>{I131>{i=>n1<7?tH226?xh2?l0;6<uG3178yk30n3:1=vF<049~j0>7290:wE=?5:m1=7=83;pD>>:;|l6<7<728qC?=;4}o7;7?6=9rB8<85rn4:7>5<6sA9;96sa59794?7|@::>7p`:8783>4}O;9?0qc;77;295~N48<1vb867:182M57=2we95750;3xL6623td>4l4?:0yK753<ug?3n7>51zJ040=zf<2h6=4>{I131>{i=1n1<7?tH226?xh20l0;6<uG3178yk3?n3:1=vF<049~j0?7290:wE=?5:m1<7=83;pD>>:;|l6=7<728qC?=;4}o7:7?6=9rB8<85rn4;7>5<6sA9;96sa58794?7|@::>7p`:9783>4}O;9?0qc;67;295~N48<1vb877:182M57=2we94750;3xL6623td>5l4?:0yK753<ug?2n7>51zJ040=zf<3h6=4>{I131>{i=0n1<7?tH226?xh21l0;6<uG3178yk3>n3:1=vF<049~j0g7290:wE=?5:m1d7=83;pD>>:;|l6e7<728qC?=;4}o7b7?6=9rB8<85rn4c7>5<6sA9;96sa5`794?7|@::>7p`:a783>4}O;9?0qc;n7;295~N48<1vb8o7:182M57=2we9l750;3xL6623td>ml4?:0yK753<ug?jn7>51zJ040=zf<kh6=4>{I131>{i=hn1<7?tH226?xh2il0;6<uG3178yk3fn3:1=vF<049~j0d7290:wE=?5:m1g7=83;pD>>:;|l6f7<728qC?=;4}o7a7?6=9rB8<85rn4`7>5<6sA9;96sa5c794?7|@::>7p`:b783>4}O;9?0qc;m7;295~N48<1vb8l7:182M57=2we9o750;3xL6623td>nl4?:0yK753<ug?in7>51zJ040=zf<hh6=4>{I131>{i=kn1<7?tH226?xh2jl0;6<uG3178yk3en3:1=vF<049~j0e7290:wE=?5:m1f7=83;pD>>:;|l6g7<728qC?=;4}o7`7?6=9rB8<85rn4a7>5<6sA9;96sa5b794?7|@::>7p`:c783>4}O;9?0qc;l7;295~N48<1vb8m7:182M57=2we9n750;3xL6623td>ol4?:0yK753<ug?hn7>51zJ040=zf<ih6=4>{I131>{i=jn1<7?tH226?xh2kl0;6<uG3178yk3dn3:1=vF<049~j0b7290:wE=?5:m1a7=83;pD>>:;|l6`7<728qC?=;4}o7g7?6=9rB8<85rn4f7>5<6sA9;96sa5e794?7|@::>7p`:d783>4}O;9?0qc;k7;295~N48<1vb8j7:182M57=2we9i750;3xL6623td>hl4?:0yK753<ug?on7>51zJ040=zf<nh6=4>{I131>{i=mn1<7?tH226?xh2ll0;6<uG3178yk3cn3:1=vF<049~j0c7290:wE=?5:m1`7=83;pD>>:;|l6a7<728qC?=;4}o7f7?6=9rB8<85rn4g7>5<6sA9;96sa5d794?7|@::>7p`:e783>4}O;9?0qc;j7;295~N48<1vb8k7:182M57=2we9h750;3xL6623td>il4?:0yK753<ug?nn7>51zJ040=zf<oh6=4>{I131>{i=ln1<7?tH226?xh2ml0;6<uG3178yk3bn3:1=vF<049~j0`7290:wE=?5:m1c7=83;pD>>:;|l6b7<728qC?=;4}o7e7?6=9rB8<85rn4d7>5<6sA9;96sa5g794?7|@::>7p`:f783>4}O;9?0qc;i7;295~N48<1vb8h7:182M57=2we9k750;3xL6623td>jl4?:0yK753<ug?mn7>51zJ040=zf<lh6=4>{I131>{i=on1<7?tH226?xh2nl0;6<uG3178yk3an3:1=vF<049~j367290:wE=?5:m257=83;pD>>:;|l547<728qC?=;4}o437?6=9rB8<85rn727>5<6sA9;96sa61794?7|@::>7p`90783>4}O;9?0qc8?7;295~N48<1vb;>7:182M57=2we:=750;3xL6623td=<l4?:0yK753<ug<;n7>51zJ040=zf?:h6=4>{I131>{i>9n1<7?tH226?xh18l0;6<uG3178yk07n3:1=vF<049~j377290:wE=?5:m247=83;pD>>:;|l557<728qC?=;4}o427?6=9rB8<85rn737>5<6sA9;96sa60794?7|@::>7p`91783>4}O;9?0qc8>7;295~N48<1vb;?7:182M57=2we:<750;3xL6623td==l4?:0yK753<ug<:n7>51zJ040=zf?;h6=4>{I131>{i>8n1<7?tH226?xh19l0;6<uG3178yk06n3:1=vF<049~j347290:wE=?5:m277=83;pD>>:;|l567<728qC?=;4}o417?6=9rB8<85rn707>5<6sA9;96sa63794?7|@::>7p`92783>4}O;9?0qc8=7;295~N48<1vb;<7:182M57=2we:?750;3xL6623td=>l4?:0yK753<ug<9n7>51zJ040=zf?8h6=4>{I131>{i>;n1<7?tH226?xh1:l0;6<uG3178yk05n3:1=vF<049~j357290:wE=?5:m267=83;pD>>:;|l577<728qC?=;4}o407?6=9rB8<85rn717>5<6sA9;96sa62794?7|@::>7p`93783>4}O;9?0qc8<7;295~N48<1vb;=7:182M57=2we:>750;3xL6623td=?l4?:0yK753<ug<8n7>51zJ040=zf?9h6=4>{I131>{i>:n1<7?tH226?xh1;l0;6<uG3178yk04n3:1=vF<049~j327290:wE=?5:m217=83;pD>>:;|l507<728qC?=;4}o477?6=9rB8<85rn767>5<6sA9;96sa65794?7|@::>7p`94783>4}O;9?0qc8;7;295~N48<1vb;:7:182M57=2we:9750;3xL6623td=8l4?:0yK753<ug<?n7>51zJ040=zf?>h6=4>{I131>{i>=n1<7?tH226?xh1<l0;6<uG3178yk03n3:1=vF<049~j337290:wE=?5:m207=83;pD>>:;|l517<728qC?=;4}o467?6=9rB8<85rn777>5<6sA9;96sa64794?7|@::>7p`95783>4}O;9?0qc8:7;295~N48<1vb;;7:182M57=2we:8750;3xL6623td=9l4?:0yK753<ug<>n7>51zJ040=zf??h6=4>{I131>{i><n1<7?tH226?xh1=l0;6<uG3178yk02n3:1=vF<049~j307290:wE=?5:m237=83;pD>>:;|l527<728qC?=;4}o457?6=9rB8<85rn747>5<6sA9;96sa67794?7|@::>7p`96783>4}O;9?0qc897;295~N48<1vb;87:182M57=2we:;750;3xL6623td=:l4?:0yK753<ug<=n7>51zJ040=zf?<h6=4>{I131>{i>?n1<7?tH226?xh1>l0;6<uG3178yk01n3:1=vF<049~j317290:wE=?5:m227=83;pD>>:;|l537<728qC?=;4}o447?6=9rB8<85rn757>5<6sA9;96sa66794?7|@::>7psr}AB@2d22h88<?m<7|BCF~6zHIZpqMN
/pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components/coregen/ctrl_fifo512x64st_v0.vhd
1,2520 → 1,281
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: O.61xd
-- \ \ Application: netgen
-- / / Filename: ctrl_fifo512x64st_v0.vhd
-- /___/ /\ Timestamp: Wed Oct 19 14:27:28 2011
-- \ \ / \
-- \___\/\___\
--
-- Command : -w -sim -ofmt vhdl D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.ngc D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.vhd
-- Device : 6slx45tfgg484-3
-- Input file : D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.ngc
-- Output file : D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.vhd
-- # of Entities : 1
-- Design Name : ctrl_fifo512x64st_v0
-- Xilinx : C:\Xilinx\13.2\ISE_DS\ISE\
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
 
 
-- synthesis translate_off
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;
 
entity ctrl_fifo512x64st_v0 is
port (
clk : in STD_LOGIC := 'X';
rst : in STD_LOGIC := 'X';
wr_en : in STD_LOGIC := 'X';
rd_en : in STD_LOGIC := 'X';
full : out STD_LOGIC;
empty : out STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 63 downto 0 );
dout : out STD_LOGIC_VECTOR ( 63 downto 0 );
data_count : out STD_LOGIC_VECTOR ( 8 downto 0 )
);
end ctrl_fifo512x64st_v0;
 
architecture STRUCTURE of ctrl_fifo512x64st_v0 is
signal N1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_2 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count2 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count3 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count5 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count6 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count7 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count8 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count9 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_224 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q_270 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q_279 : STD_LOGIC;
signal N2 : STD_LOGIC;
signal N4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot_283 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot_284 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot_285 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot_286 : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED : STD_LOGIC;
signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1 : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1 : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count : STD_LOGIC_VECTOR ( 8 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1 : STD_LOGIC_VECTOR ( 0 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count : STD_LOGIC_VECTOR ( 8 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy : STD_LOGIC_VECTOR ( 7 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
begin
data_count(8) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(8);
data_count(7) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7);
data_count(6) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6);
data_count(5) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5);
data_count(4) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4);
data_count(3) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3);
data_count(2) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2);
data_count(1) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1);
data_count(0) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0);
full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_2;
empty <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_4;
XST_VCC : VCC
port map (
P => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv
);
XST_GND : GND
port map (
G => N1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_0_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_0_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_1_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(0),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_1_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(0),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_2_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(1),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_2_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(1),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count3
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_3_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(2),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_3_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(2),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_4_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(3),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_4_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(3),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count5
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_5_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(4),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_5_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(4),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count6
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_6_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(5),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_6_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(5),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count7
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_7_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(6),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_7_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(6),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count8
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_8_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(7),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count9
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count1,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count2,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count3,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count4,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count5,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count6,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count7,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count8,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count9,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_224
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDPE
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225,
D => N1,
PRE => rst,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_1 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot_286,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot_285,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot_284,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot_283,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q_270,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q_279,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_ram_wr_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en1 : LUT3
generic map(
INIT => X"CE"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_224,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_3_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_2_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_SW0 : LUT2
generic map(
INIT => X"8"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
O => N2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I4 => N2,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q_270
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_SW0 : LUT2
generic map(
INIT => X"8"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
O => N4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I4 => N4,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q_279
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_Mmux_going_empty_PWR_35_o_MUX_13_o11 : LUT6
generic map(
INIT => X"F3A2FFA2F300FF00"
)
port map (
I0 => rd_en,
I1 => wr_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_Mmux_ram_full_comb_GND_292_o_MUX_15_o11 : LUT6
generic map(
INIT => X"FA32F030FAF2F0F0"
)
port map (
I0 => wr_en,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_11 : LUT3
generic map(
INIT => X"F7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_11 : LUT3
generic map(
INIT => X"F7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv1 : LUT4
generic map(
INIT => X"0AC6"
)
port map (
I0 => wr_en,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_0_Q : LUT3
generic map(
INIT => X"65"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
I2 => rd_en,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_1_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_2_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_3_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_4_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_5_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_6_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_7_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_8_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(8),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_8_GND_277_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_8_GND_277_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_8_GND_290_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_8_GND_290_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_2 : LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_2 : LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot_284
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot_285
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot_286
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot : LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot_283
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_wr_pntr_0_inv1_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 36,
DATA_WIDTH_B => 36,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => din(35),
DIPA(2) => din(26),
DIPA(1) => din(17),
DIPA(0) => din(8),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(4) => N1,
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
ADDRB(4) => N1,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) => dout(35),
DOPB(2) => dout(26),
DOPB(1) => dout(17),
DOPB(0) => dout(8),
DOB(31) => dout(34),
DOB(30) => dout(33),
DOB(29) => dout(32),
DOB(28) => dout(31),
DOB(27) => dout(30),
DOB(26) => dout(29),
DOB(25) => dout(28),
DOB(24) => dout(27),
DOB(23) => dout(25),
DOB(22) => dout(24),
DOB(21) => dout(23),
DOB(20) => dout(22),
DOB(19) => dout(21),
DOB(18) => dout(20),
DOB(17) => dout(19),
DOB(16) => dout(18),
DOB(15) => dout(16),
DOB(14) => dout(15),
DOB(13) => dout(14),
DOB(12) => dout(13),
DOB(11) => dout(12),
DOB(10) => dout(11),
DOB(9) => dout(10),
DOB(8) => dout(9),
DOB(7) => dout(7),
DOB(6) => dout(6),
DOB(5) => dout(5),
DOB(4) => dout(4),
DOB(3) => dout(3),
DOB(2) => dout(2),
DOB(1) => dout(1),
DOB(0) => dout(0),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => din(34),
DIA(30) => din(33),
DIA(29) => din(32),
DIA(28) => din(31),
DIA(27) => din(30),
DIA(26) => din(29),
DIA(25) => din(28),
DIA(24) => din(27),
DIA(23) => din(25),
DIA(22) => din(24),
DIA(21) => din(23),
DIA(20) => din(22),
DIA(19) => din(21),
DIA(18) => din(20),
DIA(17) => din(19),
DIA(16) => din(18),
DIA(15) => din(16),
DIA(14) => din(15),
DIA(13) => din(14),
DIA(12) => din(13),
DIA(11) => din(12),
DIA(10) => din(11),
DIA(9) => din(10),
DIA(8) => din(9),
DIA(7) => din(7),
DIA(6) => din(6),
DIA(5) => din(5),
DIA(4) => din(4),
DIA(3) => din(3),
DIA(2) => din(2),
DIA(1) => din(1),
DIA(0) => din(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 36,
DATA_WIDTH_B => 36,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => N1,
DIPA(0) => N1,
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(4) => N1,
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
ADDRB(4) => N1,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED
,
DOPB(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED
,
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) => dout(63),
DOB(29) => dout(62),
DOB(28) => dout(61),
DOB(27) => dout(60),
DOB(26) => dout(59),
DOB(25) => dout(58),
DOB(24) => dout(57),
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) => dout(56),
DOB(21) => dout(55),
DOB(20) => dout(54),
DOB(19) => dout(53),
DOB(18) => dout(52),
DOB(17) => dout(51),
DOB(16) => dout(50),
DOB(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED
,
DOB(14) => dout(49),
DOB(13) => dout(48),
DOB(12) => dout(47),
DOB(11) => dout(46),
DOB(10) => dout(45),
DOB(9) => dout(44),
DOB(8) => dout(43),
DOB(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED
,
DOB(6) => dout(42),
DOB(5) => dout(41),
DOB(4) => dout(40),
DOB(3) => dout(39),
DOB(2) => dout(38),
DOB(1) => dout(37),
DOB(0) => dout(36),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => din(63),
DIA(29) => din(62),
DIA(28) => din(61),
DIA(27) => din(60),
DIA(26) => din(59),
DIA(25) => din(58),
DIA(24) => din(57),
DIA(23) => N1,
DIA(22) => din(56),
DIA(21) => din(55),
DIA(20) => din(54),
DIA(19) => din(53),
DIA(18) => din(52),
DIA(17) => din(51),
DIA(16) => din(50),
DIA(15) => N1,
DIA(14) => din(49),
DIA(13) => din(48),
DIA(12) => din(47),
DIA(11) => din(46),
DIA(10) => din(45),
DIA(9) => din(44),
DIA(8) => din(43),
DIA(7) => N1,
DIA(6) => din(42),
DIA(5) => din(41),
DIA(4) => din(40),
DIA(3) => din(39),
DIA(2) => din(38),
DIA(1) => din(37),
DIA(0) => din(36)
);
 
end STRUCTURE;
 
-- synthesis translate_on
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file ctrl_fifo512x64st_v0.vhd when simulating
-- the core, ctrl_fifo512x64st_v0. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ctrl_fifo512x64st_v0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END ctrl_fifo512x64st_v0;
-- synthesis translate_off
ARCHITECTURE ctrl_fifo512x64st_v0_a OF ctrl_fifo512x64st_v0 IS
 
COMPONENT wrapped_ctrl_fifo512x64st_v0
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_ctrl_fifo512x64st_v0 USE ENTITY XilinxCoreLib.fifo_generator_v8_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 9,
c_default_value => "BlankString",
c_din_width => 64,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 64,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "virtex5",
c_full_flags_rst_val => 0,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 1,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 0,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 1,
c_preload_regs => 0,
c_prim_fifo_type => "512x72",
c_prog_empty_thresh_assert_val => 2,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 510,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 509,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 9,
c_rd_depth => 512,
c_rd_freq => 1,
c_rd_pntr_width => 9,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
--c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 9,
c_wr_depth => 512,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 9,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
BEGIN
U0 : wrapped_ctrl_fifo512x64st_v0
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
data_count => data_count
);
 
END ctrl_fifo512x64st_v0_a;
-- synthesis translate_on
/pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components/coregen/ctrl_fifo512x64st_v0.xco
1,7 → 1,7
##############################################################
#
# Xilinx Core Generator version 13.2
# Date: Wed Oct 19 11:25:05 2011
# Xilinx Core Generator version 14.5
# Date: Sat Apr 20 16:42:54 2013
#
##############################################################
#
12,7 → 12,7
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:8.2
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
22,21 → 22,21
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET device = xc5vsx50t
SET devicefamily = virtex5
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET package = ff1136
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET simulationfiles = Behavioral
SET speedgrade = -1
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.2
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
54,7 → 54,7
CSET component_name=ctrl_fifo512x64st_v0
CSET data_count=true
CSET data_count_width=9
CSET disable_timing_violations=false
CSET disable_timing_violations=true
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
83,12 → 83,6
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
157,19 → 151,19
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=No_Programmable_Full_Threshold
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
184,6 → 178,8
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
211,7 → 207,7
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-14T07:12:32.000Z
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: c15403dd
# CRC: e84e4d4d
/pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components/pcie_core/pcie_core64_wishbone_m8.vhd
0,0 → 1,305
-------------------------------------------------------------------------------
--
-- Title : pcie_core64_wishbone_m8
-- Author : Dmitry Smekhov
-- Company : Instrumental Systems
-- E-mail : dsmv@insys.ru
--
-- Version : 1.0
--
-------------------------------------------------------------------------------
--
-- Description : PCI Express controller
-- Modification 8 - Wishbone - Virtex 5 PCI Express v1.1 x8
--
-------------------------------------------------------------------------------
--
-- Version 1.0 20.04.2013
-- Created from pcie_core64_wishbone v1.3
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
 
package pcie_core64_wishbone_m8_pkg is
 
component pcie_core64_wishbone_m8 is
generic
(
 
 
 
is_simulation : integer:=0 --! 0 - synthesis, 1 - simulation
);
port
(
---- PCI-Express ----
txp : out std_logic_vector( 7 downto 0 );
txn : out std_logic_vector( 7 downto 0 );
rxp : in std_logic_vector( 7 downto 0 );
rxn : in std_logic_vector( 7 downto 0 );
mgt250 : in std_logic; -- reference clock 250 MHz from PCI_Express
perst : in std_logic; -- 0 - reset
 
 
 
---- Wishbone SYS_CON -----
o_wb_clk : out std_logic;
o_wb_rst : out std_logic;
---- Wishbone BUS -----
ov_wbm_addr : out std_logic_vector(31 downto 0);
ov_wbm_data : out std_logic_vector(63 downto 0);
ov_wbm_sel : out std_logic_vector( 7 downto 0);
o_wbm_we : out std_logic;
o_wbm_cyc : out std_logic;
o_wbm_stb : out std_logic;
ov_wbm_cti : out std_logic_vector( 2 downto 0); -- Cycle Type Identifier Address Tag
ov_wbm_bte : out std_logic_vector( 1 downto 0); -- Burst Type Extension Address Tag
iv_wbm_data : in std_logic_vector(63 downto 0);
i_wbm_ack : in std_logic;
i_wbm_err : in std_logic; -- error input - abnormal cycle termination
i_wbm_rty : in std_logic; -- retry input - interface is not ready
i_wdm_irq_0 : in std_logic;
iv_wbm_irq_dmar : in std_logic_vector( 1 downto 0)
);
end component pcie_core64_wishbone_m8;
 
end package pcie_core64_wishbone_m8_pkg;
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
 
use work.core64_type_pkg.all;
use work.pcie_core64_m1_pkg.all;
use work.core64_pb_wishbone_pkg.all;
use work.block_pe_main_pkg.all;
 
entity pcie_core64_wishbone_m8 is
generic
(
 
 
 
 
);
port
(
---- PCI-Express ----
txp : out std_logic_vector( 7 downto 0 );
txn : out std_logic_vector( 7 downto 0 );
rxp : in std_logic_vector( 7 downto 0 );
rxn : in std_logic_vector( 7 downto 0 );
mgt250 : in std_logic; -- reference clock 250 MHz from PCI_Express
perst : in std_logic; -- 0 - reset
 
 
 
---- Wishbone SYS_CON -----
o_wb_clk : out std_logic;
o_wb_rst : out std_logic;
---- Wishbone BUS -----
ov_wbm_addr : out std_logic_vector(31 downto 0);
ov_wbm_data : out std_logic_vector(63 downto 0);
ov_wbm_sel : out std_logic_vector( 7 downto 0);
o_wbm_we : out std_logic;
o_wbm_cyc : out std_logic;
o_wbm_stb : out std_logic;
ov_wbm_cti : out std_logic_vector( 2 downto 0); -- Cycle Type Identifier Address Tag
ov_wbm_bte : out std_logic_vector( 1 downto 0); -- Burst Type Extension Address Tag
iv_wbm_data : in std_logic_vector(63 downto 0);
i_wbm_ack : in std_logic;
i_wbm_err : in std_logic; -- error input - abnormal cycle termination
i_wbm_rty : in std_logic; -- retry input - interface is not ready
i_wdm_irq_0 : in std_logic;
iv_wbm_irq_dmar : in std_logic_vector( 1 downto 0)
);
end pcie_core64_wishbone_m8;
 
architecture pcie_core64_wishbone_m8 of pcie_core64_wishbone_m8 is
-------------------------------------------------------------------------------
--
 
 
 
 
 
 
 
 
 
 
 
 
 
 
signal pb_reset : std_logic;
signal brd_mode : std_logic_vector( 15 downto 0 );
 
signal bp0_data : std_logic_vector( 31 downto 0 );
-------------------------------------------------------------------------------
--
-- Declare Global SYS_CON stuff:
signal clk : std_logic;
signal reset : std_logic;
signal dcm_rst_out : std_logic;
signal reset_p : std_logic;
signal reset_p_z1 : std_logic;
signal reset_p_z2 : std_logic;
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
--
-- Instantiate CORE64_M6 module with PB BUS:
--
CORE : pcie_core64_m1
generic map
(
is_simulation => is_simulation --! 0 - synthesis, 1 - simulation
)
port map
(
---- PCI-Express ----
txp => txp,
txn => txn,
rxp => rxp,
rxn => rxn,
mgt250 => mgt250,
perst => perst,
px => px,
pcie_lstatus => pcie_lstatus,
pcie_link_up => pcie_link_up,
 
clk_out => clk, -- S6 PCIE x1 module clock output
reset_out => reset, --
dcm_rstp => dcm_rst_out, -- S6 PCIE x1 module INV trn_reset_n_c
---- BAR1 (PB bus) ----
aclk => clk, -- !!! same clock as clk_out
aclk_lock => '1', --
pb_master => pb_master, --
pb_slave => pb_slave, --
 
bp_host_data => bp_host_data,
bp_data => bp_data,
bp_adr => bp_adr,
bp_we => bp_we,
bp_rd => bp_rd,
bp_sel => bp_sel,
bp_reg_we => bp_reg_we,
bp_reg_rd => bp_reg_rd,
bp_irq => bp_irq
);
 
reset_p <= not reset;
reset_p_z1 <= reset_p after 1 ns when rising_edge( clk );
reset_p_z2 <= reset_p_z1 after 1 ns when rising_edge( clk );
 
-- Deal with CORE BP Input data:
bp_data <= bp0_data when bp_sel="00" else (others=>'0');
-------------------------------------------------------------------------------
--
-- Instantiate PE_MAIN module:
--
PE_MAIN : block_pe_main
generic map
(
 
 
 
 
)
port map
(
---- Global ----
 
 
 
---- HOST ----
 
 
 
 
 
 
);
-------------------------------------------------------------------------------
--
-- Instantiate PB BUS <-> WB BUS translator module:
--
PW_WB : core64_pb_wishbone
port map
(
 
 
---- BAR1 ----
 
 
---- Wishbone BUS -----
ov_wbm_addr => ov_wbm_addr,
ov_wbm_data => ov_wbm_data,
ov_wbm_sel => ov_wbm_sel,
o_wbm_we => o_wbm_we,
o_wbm_cyc => o_wbm_cyc,
o_wbm_stb => o_wbm_stb,
ov_wbm_cti => ov_wbm_cti, -- Cycle Type Identifier Address Tag
ov_wbm_bte => ov_wbm_bte, -- Burst Type Extension Address Tag
iv_wbm_data => iv_wbm_data,
i_wbm_ack => i_wbm_ack,
i_wbm_err => i_wbm_err, -- error input - abnormal cycle termination
i_wbm_rty => i_wbm_rty, -- retry input - interface is not ready
i_wdm_irq_0 => i_wdm_irq_0,
iv_wbm_irq_dmar => iv_wbm_irq_dmar
);
-------------------------------------------------------------------------------
--
-- Module Output route:
--
o_wb_clk <= clk; -- route from PW_WB wrk clock
--
pr_o_wb_rst: process( reset_p, clk ) begin
if( reset_p='1' ) then
o_wb_rst <= '1' after 1 ns;
elsif( rising_edge( clk ) ) then
o_wb_rst <= reset_p_z2 after 1 ns;
end if;
end process;
 
 
-------------------------------------------------------------------------------
end pcie_core64_wishbone_m8;
/pcie_ds_dma/trunk/core/wishbone/coregen/ctrl_fifo1024x64_st_v1.ngc
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2c:44<,[o}e~g`n;"2*732(-80!<?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>7;1234=678=1;54>>;037?42=AGZ^X7OKDSC?56<768>0=94FNQWW>DBCZK6:?7>11:026>552@D[YY4KI@>05?699;18>7GAPTV9@LD;;80;2<=4338LQQVR\3NDM1=>:1<27>552F__\XZ5DN@?74<76=1?<9:>1:69MKVR\3NB\L2<:1<25>2=AGZ^X7JFPC>0>58682>1EC^ZT;UFE95=87;;794FNQWW>RCE4:0;2<<44;MVPUSS2ME[M1=50?31?1<H]]Z^X7J@PC>0>5863?;97;:5IORVP?bnf5<86=0>4:47>JSSX\^1hb{{<7194;4<>H>0:LON8:4BEDGFIH;;7;ONA@CBEDGFIHKJMk59smz71q``o=2?!>?47394B1=?:=;?75:=109:0>?78080M;:4A@4B<>GFIH<JML64A@CB<@GF991JMLONA@C5EDGFIHK:<6ONA@CBEDGFIH<JML??;@CBEDGFJHKJMLON899B@ATF49427LJKR@>24;?<IMNYM1?>>89B@ATF4885n6OKDSC?56<7601JHI\N<01=<>GCL[K7=364AEFQE94902KOH_O33?:8EABUI5>546OKDSC?1;><IMNYM1818:CG@WG;?720MIJ]A=:=<>GCL[K75364AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF9756k1JHI\M<0194;?<IMNYN1?<>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE40487LBC9:CP]KEXZLM37O[\_HLEK4=D:2IN=?5LHDAH[IODMGYNSYW_E39@M1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M2?7NBDB49@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;E:`?AGSIV]BHYFPAb9GEQGX_@N_DRL=;EK5?AOF494<7IGN<02=3>BNI5;:2:5KI@>26;1<L@K7=>08;EKB8429?2NBM1?:>69GMD:6>7=0HDO316<4?AOF4825;6JFA=3::3=CAH6:2:5KI@>14;1<L@K7><08;EKB8749?2NBM1<<>69GMD:5<7=0HDO324<4?AOF4;<5;6JFA=04:2=CAH694394DHC?6<813MCJ0?08;EKB866912NBM1=>:1<4?AOF4:;5:6JFA=1=2>BNI5>5:6JFA=7=2>BNI5<5:6JFA=5=2>BNI525:6JFA=;=2>BNJ5:5;6JFB=33:2=CAK6:=394DH@?57803MCI0<=17:FJF9736>1OEO2>5?58@LD;9?4<7IGM<05=3>BNJ5;32:5KIC>2=;0<L@H7=394DH@?65803MCI0??17:FJF9456>1OEO2=3?58@LD;:=4<7IGM<37=3>BNJ58=2:5KIC>13;1<L@H7>508;EKA87?9>2NBN1<17:FJF957601OEO2<1;2=3>BNJ59:2;5KIC>0:3=CAK6?2;5KIC>6:3=CAK6=2;5KIC>4:3=CAK632;5KIC>::2=CAYK7<394DHRB84803MC[M1<19:FJTD:4294<7IG_A=1=3>BNXK6;2:5KIQ@?5;1<L@ZI0?06;EKSF95=87=0HD^M<2<5?AIF494<7IAN<02=3>BHI5;:2:5KO@>26;1<LFK7=>08;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<LFK7><08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;1<LFH7=409;EMA84803MEI0?>17:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1<LFH7>:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0<LFH7?384DN@?0;0<LFH79384DN@?2;0<LFH7;384DN@?<;0<LFH75394DN@\V@A03ME[M1>17:FLTD:66>1OC]O32?;8@JVF4:0;2:5KOQC?7;1<LFZI0=08;EMSF979?2ND\O2=>89GKUD;;3:5;6J@PC>0:==BIHK=M?O<;DLB7>CIJk1NBR\\TSCN[Dd<MGUY_Y\NM^@24>@4I;LMM?H<01DE454<N=80J:??;GC06CGA:O:8<K>I049EEIUB:2LO?6HKC59E@FC43ON[86HKPD:8BC@A?OLM46HIFGDEB@7<O:1LBI?4I39J47=N9;1B>?5F339J07=N=11BBDZ__12;?LHN\YU;=55FNHVS[54?3@DBX]Q?399JJLRWW9>37D@FTQ]31==NF@^[S=87;HLJPUY7?01BBDZ\T@VF3>OIA]U;<:5FNHV\441<AGC_S=<8;HLJPZ64?2CEEYQ?469JJLRX8<=0ECG[_144?LHN\V:<;6GAIU]3<2=NF@^T<494IOKW[5G03@DBXR>M7:KMMQY7K>1BBDZP0E58MKOSW9O<7D@FT^2E3>OIA]U:<:5FNHV\541<AGC_S<<8;HLJPZ74?2CEEYQ>469JJLRX9<=0ECG[_044?LHN\V;<;6GAIU]2<2=NF@^T=494IOKW[4G03@DBXR?M7:KMMQY6K>1BBDZP1E58MKOSW8O<7D@FT^3E3>OIA]U9<:5FNHV\641<AGC_S?<8;HLJPZ44?2CEEYQ=469JJLRX:<=0ECG[_344?LHN\V8<;6GAIU]1<2=NF@^T>494IOKW[7G03@DBXR<M7:KMMQY5K>1BBDZP2E58MKOSW;O<7D@FT^0E3>OIA]U8<:5FNHV\741<AGC_S><8;HLJPZ54?2CEEYQ<469JJLRX;<=0ECG[_244?LHN\V9<;6GAIU]0<2=NF@^T?494IOKW[6G03@DBXR=M7:KMMQY4K>1BBDZP3E58MKOSW:O<7D@FT^1E2>OIA]UJ:6GAIU]A=>OIA]UMEAK<;HLU6>JN>2FDMIKK6:NLGNCC?2FDKDMNL59OQQ433E__?95CUU67?ISS==1GYY8:;LQDKK3<E\RHUn5BakmqR`ttafdh7@gaosTfvvohf;1E<>5A0008J44<F;80B9<4N708J=1<FL^\C_E;;OMMA4=H02E%:<vk_M38T1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC<;QPF2>VTLFDN=6_n;SCNF40E_LXj7_KND^DJVVR13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>1:QKV@AXMQ^YMNZPNFAf?VNUMNUFECQ\I[G27>UNEVID@AG\INL\GMCDCh1XE@QKEWK@A3=TG\XHI55\P@PWEK003ZX]MAQN7:QQRDJXJ?1XXLZZS59P]KE33]X^I95[YQG45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-Nip~XofcekRkbpu{\TRTX;0UdS@[W_06\k47182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&mfyu laspzj`r;87<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#jczx/abvwim}6:2;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? glw{*fguzpdnx1<1619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-dip~)khxyuck{<2<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*aj}q$laV>R.fo2*h7192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&mfyu hmZ3^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="ibuy,di^4Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.enq}(`eR9V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*aj}q$laV:R.fop*hu2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&|:0=0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.t28586=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k8%}=1?1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-u59799<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$z<2=>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,r4:568?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?33?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;;7;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="x><5<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*p64=4::=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f4(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n< v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f4(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%}=1:11408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/bmnt5353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*ehey;=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%lcd`h_dosp|YW_[U85RaPMTZ\51Yh=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*tfeVof|ywPi5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uidUyhRka_h363>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{nT|cz}_qnvw4:76Vcez:?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'Dg~tRil0/alqkr\1TULBIQ=80]l[hsW;UDYY??1638Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@czx^e`4+eh}g~P5PQHNE]1<4YhWdsS?Q@UU33724<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY508UdS`{w_3]LQQ77;8==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kj}qUlo= lotlw_<[XOGNT>5?Po^ov|Z4XG\^:=RGAV^244>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW64173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Onq}Y`k9$hcx`{[8_\CKBX:1;TcRczx^0\KPR49>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HkrpVmh<#m`uovX=XY@FMU94<Q`_lw{[7YH]]>:;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EdsSjm?.bmvjq]>UVMEHR<71^m\ip~X:VE^X9?>719V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$A`{w_fa3*firf}Q2QRIAD^0;5ZiXe|rT>RAZT5044>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW14173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Onq}Y`k9$hcx`{[8_\CKBX:1;TcRczx^0\KPR19>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HkrpVmh<#m`uovX=XY@FMU94<Q`_lw{[7YH]]=:;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EdsSjm?.bmvjq]>UVMEHR<71^m\ip~X:VE^X5?81:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%FaxvPgb2-gjsi|R3VSJ@K_3:2[jYj}qU9SB[[80345>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW=470:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Nip~Xoj:%ob{atZ;^[BHCW;2:SbQbuy]1[JSS18UM985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=2=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5?5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=4=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5=5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=:=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|535:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ;^[BHCW;2:SbQbuy]1[JSS484=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[8_\CKBX:1;TcRczx^0\KPR;:7<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT9\]DJAY508UdS`{w_3]LQQ:46?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU6]^EM@Z4?9VeTaxvP2^MVP929>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW8081k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW4SPGOF\6=7XgVg~tR<POTV?2;0d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P5PQHNE]1<4YhWdsS?Q@UU>4:3e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Q2QRIAD^0;5ZiXe|rT>RAZT=:=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R3VSJ@K_3:2[jYj}qU9SB[[<8<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW9??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP1468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY5==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR=:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[1333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T98:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]511=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V=>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_977?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX1<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=3=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0?0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;;7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>7:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1;1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64?4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?3;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<27>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95359:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q=569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W:?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]712=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:S8;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y1=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_674?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U39:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[<?53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[02^[wbXxg~ySz|Piot\tdb\99WTmijP2^MVPZe~4942>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^77UVxoS}`{r^uq[lhqWykoW<>R_`fg[7YH]]Uhu1?1939V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,IdbcW~xT|cz}_qnvw4YwimQ:<PQ}d^rmpwYpzVcezR~ndZ33YZgclV8TCXZPcx>1:<4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW[f;;7397X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_46ZW{nT|cz}_vp\mkpXxhnP==SPaef\6ZIR\Vir09062:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYYQly=7==7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSz|Ppovq[ujr{8U{miU>0\]q`Zvi|{U|~Rgav^rb`^77UVkohR<POTV\g|:16080Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#@okd^uq[uhszVzgy~?Pp`fX55[XzmU{by|Pws]jjsYwimQ:<PQnde]1[JSSWjs7;37=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS8:VSjPpovq[rtXag|T|ljT11_\eabX:VE^XRmv<9<:6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV??]^pg[uhszV}ySd`y_qcg_46ZWhnoS?Q@UU]`}9?91=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_vp\tkruWyf~<QaeY24XYulVzexQxr^kmrZvflR;;QRokd^0\KPRXd|~7==063:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYYQcuu>2:<5<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW[iss4;42?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^77UVxoS}`{r^uq[lhqWykoW<>R_`fg[7YH]]Ugyy2<>818Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+HgclV}yS}`{r^roqv7XxhnP==SPre]sjqtX{Ubb{QaeY24XYflmU9SB[[_mww818>;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPws]sjqtXxex=R~ndZ33YZtcWyd~Ry}_hlu[ugcS8:VSljk_3]LQQYk}}6>24=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/Lcg`ZquWyd~R~cur3\tdb\99WT~iQnup\swYnfU{miU>0\]b`aY5WF__Sa{{<7<:7>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV??]^pg[uhszV}ySd`y_qcg_46ZWhnoS?Q@UU]oqq:06090Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#@okd^uq[uhszVzgy~?Pp`fX55[XzmU{by|Pws]jjsYwimQ:<PQnde]1[JSSWe05063:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYYQcuu>::<2<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW[}iu48:55>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h M`fg[rtXxg~yS}bzs0]sea]68TUyhR~ats]tvZoi~VzjhV??]^cg`Z4XG\^Ttb|31?;0?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmU|~R~ats]shpu6WykoW<>R_sf\tkruW~xTecxPp`fX55[XimnT>RAZT^zlv9491:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_vp\tkruWyf~<QaeY24XYulVzexQxr^kmrZvflR;;QRokd^0\KPRXpfx7?37<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS8:VSjPpovq[rtXag|T|ljT11_\eabX:VE^XRv`r=6==6=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSz|Ppovq[ujr{8U{miU>0\]q`Zvi|{U|~Rgav^rb`^77UVkohR<POTV\|jt;=7387X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_46ZW{nT|cz}_vp\mkpXxhnP==SPaef\6ZIR\Vrd~181929V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,IdbcW~xT|cz}_qnvw4YwimQ:<PQ}d^rmpwYpzVcezR~ndZ33YZgclV8TCXZPxnp?3;?43\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[02^[wbXxg~ySz|Piot\tdb\99WTmijP2^MVPZ~hz5255>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h M`fg[rtXxg~yS}bzs0]sea]68TUyhR~ats]tvZoi~VzjhV??]^cg`Z4XG\^Ttb|39?54?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|T9\]SSWY3=VeTi|{nl^:\k9?9WZ];;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h dhde[uhszR3VS]Y]_57\kZcv}hfT4Ra39?]PS4053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdb;994==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWyko0<091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<3<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)caolT|cz}_qcg868192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugc4=4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWyko08091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<7<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)caolT|cz}_qcg828192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugc414==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWyko04090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_042?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\55073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdbX:?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwimU8:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h dhde[uhszVzjhR:90:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_443?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\236<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]seaY0>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflV2=<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWykoS4;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.rqkbYa}efTe;=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/qplcZ`rdeUbS}{pnv6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)uidUyhRka_h7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*tfeV}ySh`Pi7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+rtXxg~yS}bzs0]sea:76Vgjbi?7b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-tvZvi|{U{`x}>_qcg_46ZW{nT|cz}_vp\mkpXxhnP==SPaef\6ZIR\5;;25o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/vp\tkruWyf~<QaeY24XYulVzexQxr^kmrZvflR;;QRokd^0\KPR;972j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"y}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW878?i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%|~R~ats]shpu6WykoW<>R_sf\tkruW~xTecxPp`fX55[XimnT>RAZT=1=<d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`({U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYY2;>9c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+rtXxg~yS}bzs0]sea]68TUyhR~ats]tvZoi~VzjhV??]^cg`Z4XG\^7936n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.uq[uhszVzgy~?Pp`fX55[XzmU{by|Pws]jjsYwimQ:<PQnde]1[JSS4?43m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!xr^rmpwYwd|y:S}ok[02^[wbXxg~ySz|Piot\tdb\99WTmijP2^MVP9190h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl${Qnup\tist9VzjhV??]^pg[uhszV}ySd`y_qcg_46ZWhnoS?Q@UU>;:=g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'~xT|cz}_qnvw4YwimQ:<PQ}d^rmpwYpzVcezR~ndZ33YZgclV8TCXZ39?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}YuidUmyabPcnoa[BHCW;3?SbQBUY]22Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i;#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g5)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g4)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c0-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k8%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j;$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(kfg{=;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZehekULBIQ=95]l[HS_W8<Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4113\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn>!cnwmp^?ZWNDOS?7=_n]nq}Y5WF__==?8a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FaxvPgba3*be7&je~byU6]^EM@Z4>:VeTaxvP2^MVP466WZ];;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EdsSjml0/e`4+eh}g~P5PQHNE]1=7YhWdsS?Q@UU0230=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| Mlw{[bed8'mh<#m`uovX=XY@FMU95?Q`_lw{[7YH]]9:;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EdsSjml0/e`4+eh}g~P5PQHNE]1=7YhWdsS?Q@UU6233=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| Mlw{[bed8'mh<#m`uovX=XY@FMU95?Q`_lw{[7YH]]>:=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV7623<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^>=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV5523<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^<=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV;523<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^2=:74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV:5ZUP8<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov?5;3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{<3<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfex1=15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}6?28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr;=7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw8382i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at=5=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by27>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~7539>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS0WTKCJP280\kZkrpV8TCXZ31?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV?6;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[8_\CKBX:08TcRczx^0\KPR;;7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^7839>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS0WTKCJP280\kZkrpV8TCXZ35?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV?2;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[8_\CKBX:08TcRczx^0\KPR;?7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^7439>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS0WTKCJP280\kZkrpV8TCXZ39?7:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS<;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW;?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[63>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_57:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS8;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW??27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[23>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_97:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS4;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;793;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7:3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7;3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;743;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;753;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X<<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y2=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z02k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^f2[23d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_e3\<0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczPd0]:16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}yS}`{r^roqv7;87h=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]7U'xja#| s7]mklhn|'xja"]KP/QJIUJBWYXBA<6!r`o`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T0\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa5e73\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY3Y+tfe'x$;Qaohljp+tfe&YO\#]FMQNF[UTNE82%~lcPioqvhdrb;k<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-ueioc&jy~"|nmmmlt^7Z&{kf"!|6^llmkos&{kf#^J_.RKNTICXX[CF=5 }ala3?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$zlbfd/appw)uidfdc}U>]/pbi+t({?Uecd`ft/pbi*UCX'YBA]BJ_QPJI4>)zhgTec}zl`vf5f6<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!yamkg*fusz&xjaaa`pZ3^*wgj&{%x:R``iokw*wgj'ZN["^GBPMG\TWOJ91$ym`Qfnrwoeqc5j?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.tbhlb)kz~y#oblnms_7[)zhg%~"}9_omjjlr)zhg$_I^!SHOSH@YWZ@G:4#|nmb28Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%}magk.bqwv*tfeeed|V<R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uidUbb~{caug2g5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[3_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjaRgastnbp`4e>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x$~lcconrX7X(uid$y#~8Pnnkmmq(uid%XH] \ILROAZVUAD;3"obc19V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&|j`dj!crvq+wgjdfe{W>S!r`o-v*u1Wgebbdz!r`o,WAV)[@G[@HQ_RHO2<+tfeVcexbntd2`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T3\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa6363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"yc_scn[wbXmg?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.uos[wgjW{nTic?<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPre]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld?o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;87>h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ63j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\61e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(pzVxxxR|jg=2=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<0<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?<d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hi;0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd063?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySl}}ef]g66e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa7a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(zmUyyQlol`27c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/vp\tkru494Tecx>_HLU[5e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WD@?4;0<_LH7=384WD@?6;><_LH7?7>16:UFF959k2]YEYKPMNFF[De<_[C_IRC@DD]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5<Qly3<6QP_YNMIZYX8VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HkrpVmh<#m`uovX=XY@FMU94<Q`_lw{[7YH]];;?<6<;^]\\IHJWVU:SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EdsSjml0/e`4+eh}g~P5PQHNE]1=7YhWdsS?Q@UU613`=XWVRGB@QP_3]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cbuy]dg5(dg|dW4SPGOF\6=7XgVg~tR<POTV76=2<WVUS@CCP_^1\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Bmtz\cfe7&ni;"naznuY:YZAILV82>RaPmtz\6ZIR\=;:4:5P_^ZOJHYXW=UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Kj}qUlon>!gb2-gjsi|R3VSJ@K_3;1[jYj}qU9SB[[90]PS51b3VUTTA@B_^]6[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| Mlw{[be7&je~byU6]^EM@Z4?9VeTaxvP2^MVP772l2UTSUBAM^]\2ZYX]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l7602hggRcjm^efjZabflxboRm|ts3;?gjlWdofSjka_qpjiZqnl}bT=<64bmi\i`kXoldT|gb_vkgpmY5911i`fQbel]dakYwz`gT{dj{h^12<>dkcVgnaRijn^rqmhYpam~cS9?k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S<?k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S??k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S>?k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S9h4bmi\i`kXoldTxb~>4:`ooZkbeVmnbRx9_3]{wq743kf`S`kb_hlsqqYedfi`=95mlj]nahYh}}z~xRlcobi0?fjl?2ida}o}e048gqtkWocmc<>=4y47[vrX~8;;mo5ltsn\bl`h998?t;:Psu]u5)`nnfUlick}aumq[s>X:%qhSljk_oe`[fii{}xjecz20-a\euvkajkeb`Ptxrf[d~n{4:'oRopmk`eqohfV~r|hQ}abj>4)eXiyzgeno{inl\p|vbW{nhd0>#c^cstiodi}cdbRzvpd]tefn:8%iTm}~cibcwmjhX|pznSzjlh<2/gZgwxechmyg`n^vzt`Ypzjb6<!mPayk\eabuW~coxe3<2-a\e}oXi{xiQxievk94*dWhrbSlyzsdp\slbs`4;'oRowi^`vw`tX`nd0?#c^c{mZbf|hU|eizg=76/gZgaVcoSzgkti?7(fYfp`Uyy~k}_vkgpm;6$jUjtdQ{yqg>4)eXiqcT{x}jr^uj`qn:9%iTmug|_ufbpdYpam~c1;:#c^c{mvYsllySzgkti?7(fYfp`yTxdjPwhfwl8>+kVkse~Q{kdgs[roc|a7? nQnxhq\pvrujV}bhyf24-a\e}otW}xiQxievk91*dWhrbRzvpd?3(fYdgdgdbRmcobi>5)eXkfexRzvpd?3(fYci}kTob{at^uj`qn:99&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?50)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4<? nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh86+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<2/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp86+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>4)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl7; nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=0.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}87+kVzyiaand^pfcv;7$jU{~dcPfhdl[qwm4;`t>8#c^rqkbYbey~rSyf}erj\evubz}U}ma3=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPaykp9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW{khd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^pggm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|mnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\saeo58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSz|lh<3367*dWyxdkRkbpu{\pmtb{aUeijo{e^tbh85+kVzycjQjmqvz[qwm4:'oR~}of]fiur~W}s{iRowir?6(fYwzfmTi`~{y^vzt`Yuijb69!mPpsmd[`kw|pUu}kPreak90*dWyxdkRkbpu{\p|vbW~khd0;#c^rqkbYbey~rSywe^uggm;2$jU{~biPelrw}Zr~xlU|~nf25-a\twi`Wog`Rzgrdqk[dutm{~Tzlb2500/gZvugnUmyabPtipfwmYf{zoyxRxnl^c{mv;68;9'oR~}of]eqijX|axneQnsrgqpZpfdVxjoe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^pggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}joe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^uggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}yoe3>031/gZvugnUmyabPtipfwmYimnkiRxnl<725)eXx{elSk{cl^vzt`;6$jU{~biPftno[qwmVkse~3:,b]svjaXn|fgSywe^pbgm;2$jU{~biPftno[qwmVxooe3:,b]svjaXn|fgSywe^ubgm;2$jU{~biPftno[qwmV}ooe3:,b]svjaXn|fgSywe^uqgm;2$jUymnfPtxrf95*dW{nThlzn_bmvjqYpam~c1<>#c^pg[acw|a7:<?:#c^pg[ctby4;'oR|k_qlwvZqnl}b6=="l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQznegqbiipWee|1="l_tqf[fijefdTc{k}fmmt95*dW|ynSnabmnl\qkbbzofd{0>#c^wpaZbbnhgxR}jtukmcv;7$jU~hQkotv\vvr:9%iTy~kPeba>4)eX}zoTinmPaykp95*dW|ynShml_sc`l86+kVxiRklc^pggm;7$jU~hQjcb]tefn:8%iTy~kPeba\saeo59&hSx}j_da`[rtd`4:'oR{|e^gnf`bcmmUyij3?,b]vw`Yaaoe::Rhcafq>4)eX}zoTjzh{_ecweZeh}g~6<!mPv`nj`Zjh4:'oRynci]w}uc:8%iT{img_u{sa86+kV}ySlmd_mmt95*dW~xThlzn_bmvjqYpam~c1<>#c^uq[acw|a7:<?:#c^uq[acw|aUjtd}21107(fYpzVnn|yfPr`ak940+kV}ySikti]q`fn:998? nQxr^fftqnXhic1<8#c^uq[acw|aU|hnf21107(fYpzVnn|yfPwsak940+kV}ySk|jq<3/gZquWyd~Ryfduj>55*dW~xT|cz}_vkgpmYfp`y6=="l_vp\tkruW~coxeQ}abj>0)eX{U{by|PwhfwlZtcka7:<!mPws]sjqtX`ndRynci?7(fYpzVzexQxievk[rbd`4;; nQxr^rmpwYpam~cSz|lh<6/gZquW{ox|b`|e^nbp`hdq4;'oRy}ci]w}uc:8t8o7nz}l^djbj77:=r=8R}{_w3/bl`hWnoeio{os]u<Z4+st90hic9;ekm85803mce0<>17:fjj9766>1oec2>2?58`lh;9:4<7iga<06=3>bnf5;>2:5kio>22;1<l`d7=:08;ekm84>9?2nbb1?6>79gmk:66>1oec2=0?58`lh;:84<7iga<30=3>bnf5882:5kio>10;1<l`d7>808;ekm8709?2nbb1<8>69gmk:507=0hd`328<5?aoi4;4<7iga<22=3>bnf59:2:5kio>06;1<l`d7?>08;ekm8629?2nbb1=:>69gmk:4>7=0hd`336<4?aoi4:25;6jfn=1::3=cag682:5kio>74;1<l`d78<08;ekm8149?2nbb1:<>69gmk:3<7=0hd`344<4?aoi4=<5;6jfn=64:2=cag6?4394dhl?0<813mce0908;ekm8069?2nbb1;>>69gmk:2:7=0hd`352<4?aoi4<>5;6jfn=76:2=cag6>:394dhl?12803mce08617:fjj93>6?1oec2:>69gmk:187=0hd`360<4?aoi4?8556jfn=40>5803mce0;=16:fjj909>2nbb1916:fjj9>9>2nbb1717:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf0?618:flqq:517=0hb{{<3<;?air|59;255kotv?748?3me~x1==>99gkpr;;:437iazt=17:==cg|~7?807;emvp951611ocxz336<;?air|593255kotv?7<803me~x1=18:flqq:38720hb{{<53=<>bh}}6?>364dnww815902ndyy2;4?:8`jss4=?546j`uu>72;><lf09918:flqq:30720hb{{<5;=3>bh}}6?255kotv?158?3me~x1;>>99gkpr;=;437iazt=70:==cg|~79907;emvp932611ocxz357<;?air|5?<255kotv?1=8?3me~x1;6>69gkpr;=720hb{{<72=<>bh}}6==364dnww8349i2ndyy293;2=<>bh}}6=?394dnww83803me~x1917:flqq:?6>1ocxz39?78ahvsq8<0jdh`_fgmawgsg{Uym`hffn31?coagVmnbh|ntnp\pjv6;2lbjbQheogqeqiuW2T>?h4fhdl[bcim{kcQy8^0/$]okagr+OB\J Fgmawgsg{*:?#<>7:djbjY`mgoymya}_w:\6Z~t|=1myab6;hlsqqYedb<0ahc`rx:8jbee}`fom6aztqww[gjl12zycjQiumna?wbXj`dTocz}5:pg[`h?3{nT`bifc008vaYt|h~~Rhcafq\wv763{oxiyQmlj]qeh`nnf90~~zm;vp\flhXkg~y96y}_dl;?rtXdfmbo55xr^re[vu6:2}yS~znttq\big`{VyxqMN9918DE~48j0M694>{R45>7`3282?6<==ddca>4b108qe>k<51:l1b6<13-8m<7<ja:P21<5n=0:494>33ffeg<6l?i37^?9e;1:f?6=9:8oill51e4`e>U1<392n7>5120gadd=9m<hn6j<0b83>4<6sZ<=6?h;:0:7>455llki6<j9c79uP4?e290:6<4>2gyP23<5n=0:494>33ffeg<6l?i=7)<kd;344>P5n809wx?88;38q41>291v(<69:0f8f66d2903;7oi:00eM4cj2.:m?4<0b9Y0g<5s8=1=54r$3ge>6?13->n6>>j;%46>66c3-;247?4$0;4>7`23`9?o7>5$0:;>62e3g;3;7>4;h17e?6=,8236>:m;o3;3?7<3`9?57>5$0:;>62e3g;3;7<4;h17<?6=,8236>:m;o3;3?5<3`9?:7>5$0:;>62e3g;3;7:4;h171?6=,8236>:m;o3;3?3<3`9?87>5$0:;>62e3g;3;784;h177?6=,8236>:m;o3;3?1<3`9?>7>5$0:;>62e3g;3;764;h175?6=,8236>:m;o3;3??<3`9?<7>5$0:;>62e3g;3;7o4;h10b?6=,8236>:m;o3;3?d<3`98i7>5$0:;>62e3g;3;7m4;h10`?6=,8236>:m;o3;3?b<3`98n7>5$0:;>62e3g;3;7k4;h10e?6=,8236>:m;o3;3?`<3`9857>5$0:;>62e3g;3;7??;:k07=<72-;347=;b:l2<2<6921b?>950;&2<=<4<k1e=5951398m651290/=56535`8j4>028907d=<5;29 4>?2:>i7c?77;37?>o4;=0;6)?78;17f>h60>0:965f32194?"601088o5a195953=<a:996=4+19:971d<f82<6<94;h104?6=,8236>:m;o3;3?7?32c8>k4?:%3;<?53j2d:4:4>9:9j77c=83.:454<4c9m5=1=9h10e><k:18'5=>=;=h0b<68:0`8?l55k3:1(<67:26a?k7??3;h76g<2c83>!7?039?n6`>8682`>=n;;k1<7*>89800g=i91=1=h54i20:>5<#9121?9l4n0:4>4`<3`9947>5$0:;>62e3g;3;7<?;:k062<72-;347=;b:l2<2<5921b??;50;&2<=<4<k1e=5952398m643290/=56535`8j4>02;907d==3;29 4>?2:>i7c?77;07?>o4:;0;6)?78;17f>h60>09965f33394?"601088o5a195963=<a:8;6=4+19:971d<f82<6?94;h12b?6=,8236>:m;o3;3?4?32c8=h4?:%3;<?53j2d:4:4=9:9j74b=83.:454<4c9m5=1=:h10e>?l:18'5=>=;=h0b<68:3`8?l56i3:1(<67:26a?k7??38h76g<1883>!7?039?n6`>8681`>=n;821<7*>89800g=i91=1>h54i234>5<#9121?9l4n0:4>7`<3`9::7>5$0:;>62e3g;3;7=?;:k050<72-;347=;b:l2<2<4921b?<:50;&2<=<4<k1e=5953398m674290/=56535`8j4>02:907d=>2;29 4>?2:>i7c?77;17?>o4980;6)?78;17f>h60>08965f34294?"601088o5a195973=<a:>m6=4+19:971d<f82<6>94;h17a?6=,8236>:m;o3;3?5?32c88i4?:%3;<?53j2d:4:4<9:9j711=83.:454<4c9m5=1=;h10e>=l:18'5=>=;=h0b<68:2`8?l5493:1(<67:26a?k7??39h76g<2783>!7?039?n6`>8680`>=n;8h1<7*>89800g=i91=1?h54i233>5<#9121?9l4n0:4>6`<3`9;m7>5;h1b7?6=3`9j97>5;h1:b?6=3f93h7>5$0:;>6>d3g;3;7>4;n1;f?6=,8236>6l;o3;3?7<3f93m7>5$0:;>6>d3g;3;7<4;n1;=?6=,8236>6l;o3;3?5<3f93;7>5$0:;>6>d3g;3;7:4;n1;2?6=,8236>6l;o3;3?3<3f9397>5$0:;>6>d3g;3;784;n1;0?6=,8236>6l;o3;3?1<3f93?7>5$0:;>6>d3g;3;764;n1;6?6=,8236>6l;o3;3??<3f93=7>5$0:;>6>d3g;3;7o4;n1;4?6=,8236>6l;o3;3?d<3f9<j7>5$0:;>6>d3g;3;7m4;n14a?6=,8236>6l;o3;3?b<3f9<o7>5$0:;>6>d3g;3;7k4;n14f?6=,8236>6l;o3;3?`<3f9<m7>5$0:;>6>d3g;3;7??;:m03<<72-;347=7c:l2<2<6921d?:650;&2<=<40j1e=5951398k610290/=56539a8j4>028907b=86;29 4>?2:2h7c?77;37?>i4?<0;6)?78;1;g>h60>0:965`36694?"601084n5a195953=<g:=86=4+19:97=e<f82<6<94;n145?6=,8236>6l;o3;3?7?32e8;=4?:%3;<?5?k2d:4:4>9:9l73`=83.:454<8b9m5=1=9h10c>8j:18'5=>=;1i0b<68:0`8?j51l3:1(<67:2:`?k7??3;h76a<6b83>!7?0393o6`>8682`>=h;?h1<7*>8980<f=i91=1=h54o24b>5<#9121?5m4n0:4>4`<3f9=57>5$0:;>6>d3g;3;7<?;:m02=<72-;347=7c:l2<2<5921d?;850;&2<=<40j1e=5952398k602290/=56539a8j4>02;907b=94;29 4>?2:2h7c?77;07?>i4>:0;6)?78;1;g>h60>09965`37094?"601084n5a195963=<g:<:6=4+19:97=e<f82<6?94;n154?6=,8236>6l;o3;3?4?32e89k4?:%3;<?5?k2d:4:4=9:9l70c=83.:454<8b9m5=1=:h10c>;k:18'5=>=;1i0b<68:3`8?j52j3:1(<67:2:`?k7??38h76a<5`83>!7?0393o6`>8681`>=h;<31<7*>8980<f=i91=1>h54o27;>5<#9121?5m4n0:4>7`<3f9>;7>5$0:;>6>d3g;3;7=?;:m013<72-;347=7c:l2<2<4921d?8;50;&2<=<40j1e=5953398k633290/=56539a8j4>02:907b=:3;29 4>?2:2h7c?77;17?>i4=;0;6)?78;1;g>h60>08965`38394?"601084n5a195973=<g:3;6=4+19:97=e<f82<6>94;n1;b?6=,8236>6l;o3;3?5?32e84h4?:%3;<?5?k2d:4:4<9:9l7=>=83.:454<8b9m5=1=;h10c>9k:18'5=>=;1i0b<68:2`8?j50:3:1(<67:2:`?k7??39h76a<6683>!7?0393o6`>8680`>=h;<i1<7*>8980<f=i91=1?h54o272>5<#9121?5m4n0:4>6`<3f9247>5;n1:6?6=3f92h7>5;c0fa?6=93:1<v*>a381`f=O:li0D?jm;n3;1?6=3th9ii4?:083>5}#9h81=<64H3g`?M4cj2e:=:4?::a5fc=83>1<7>t$0c1>4743A8no6F=dc9'57>=?2c?o7>5;h44>5<<a82j6=44o0:`>5<<uk;hh7>54;294~"6i;0:=>5G2da8L7be3-;94794i5a94?=n>>0;66g>8`83>>i60j0;66sm26g94?2=83:p(<o=:032?M4bk2B9ho5+13:96>o3k3:17d8<:188m31=831d=5m50;9~f71c290?6=4?{%3b6?7692B9in5G2e`8 44?2;1b8n4?::k57?6=3`<<6=44o0:`>5<<uk8o;7>53;294~"6i;0:==5G2da8L7be3A>j7)?86;3b5>"6:1097d:l:188m31=831d=5m50;9~f7b129086=4?{%3b6?7682B9in5G2e`8L1g<,8==6<o>;%31<?4<a=i1<75f6683>>i60j0;66sm2e794?3=83:p(<o=:037?M4bk2B9ho5G4`9'520=9h;0e9m50;9j0a<722c=;7>5;h3;e?6=3f;3o7>5;|`1`5<72=0;6=u+1`09545<@;oh7E<kb:&26=<03`>h6=44i7594?=n91k1<75`19a94?=zj;im6=4;:183!7f:3;:?6F=eb9K6ad<,8836:5f4b83>>o1?3:17d?7a;29?j7?k3:17pl=d583>1<729q/=l<51018L7cd3A8on6*>2984?l2d2900e;950;9j5=g=831d=5m50;9~f7b4290?6=4?{%3b6?76;2B9in5G2e`8 44?2>1b8n4?::k53?6=3`;3m7>5;n3;g?6=3th99n4?:583>5}#9h81=:94H3g`?M4cj2c?j7>5;h4a>5<<a83=6=44o0:0>5<<uk8>n7>54;294~"6i;0:;:5G2da8L7be3`>m6=44i7`94?=n90<1<75`19194?=zj;?j6=4;:183!7f:3;<;6F=eb9K6ad<a=l1<75f6c83>>o61?0;66a>8283>>{e:<31<7:50;2x 4g528=<7E<jc:J1`g=n<o0;66g9b;29?l7>>3:17b?73;29?xd5=10;694?:1y'5d4=9>=0D?kl;I0gf>o3n3:17d8m:188m4?12900c<6<:188yg41n3:187>50z&2e7<6?>1C>hm4H3fa?l2a2900e;l50;9j5<0=831d=5=50;9~f70b290?6=4?{%3b6?70?2B9in5G2e`8m1`=831b:o4?::k2=3<722e:4>4?::a63b=83>1<7>t$0c1>4103A8no6F=dc9j0c<722c=n7>5;h3:2?6=3f;3?7>5;|`12f<72=0;6=u+1`09521<@;oh7E<kb:k7b?6=3`<i6=44i0;5>5<<g8286=44}c05f?6=<3:1<v*>a38232=O:li0D?jm;h6e>5<<a?h1<75f18494?=h9191<75rb0c`>5<3290;w)?n2;343>N5mj1C>il4i5d94?=n>k0;66g>9783>>i60:0;66sm1``94?2=83:p(<o=:054?M4bk2B9ho5f4g83>>o1j3:17d?66;29?j7?;3:17pl>a`83>1<729q/=l<51658L7cd3A8on6g;f;29?l0e2900e<79:188k4>42900qo?n9;290?6=8r.:m?4>769K6`e<@;ni7d:i:188m3d=831b=4850;9l5=5=831vn<o7:187>5<7s-;j>7?87:J1af=O:mh0e9h50;9j2g<722c:5;4?::m2<6<722wi=oh50;694?6|,8k96<98;I0fg>N5lk1b8k4?::k5f?6=3`;2:7>5;n3;7?6=3th:nh4?:583>5}#9h81=:94H3g`?M4cj2c?j7>5;h4a>5<<a83=6=44o0:0>5<<uk;ih7>54;294~"6i;0:;:5G2da8L7be3`>m6=44i7`94?=n90<1<75`19194?=zj8hh6=4;:183!7f:3;<;6F=eb9K6ad<a=l1<75f6c83>>o61?0;66a>8283>>{e9kh1<7:50;2x 4g528=<7E<jc:J1`g=n<o0;66g9b;29?l7>>3:17b?73;29?xd58o0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`14`<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl=0e83>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th9<n4?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd58k0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`14d<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl=0883>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th9<54?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd58>0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`2ba<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl>fb83>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th:jo4?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd6nh0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`2b<<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl>f983>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th:j:4?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd6n?0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`2b0<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl>f583>0<729q/=l<51068L7cd3A8on6*>2984?l2d2900e9j50;9j22<722c:4l4?::m2<f<722wi>?:50;194?6|,8k96?km;I0fg>N5lk1b8k4?::k22a<722e:4>4?::a67b=8391<7>t$0c1>7ce3A8no6F=dc9j0c<722c::i4?::m2<6<722wi><750;694?6|,8k96<98;I0fg>N5lk1b8k4?::k5f?6=3`;2:7>5;n3;7?6=3th9>n4?:283>5}#9h81>hl4H3g`?M4cj2c?j7>5;h35`?6=3f;3?7>5;|`15=<72=0;6=u+1`09521<@;oh7E<kb:k7b?6=3`<i6=44i0;5>5<<g8286=44}c01f?6=;3:1<v*>a381ag=O:li0D?jm;h6e>5<<a8<o6=44o0:0>5<<uk8:;7>54;294~"6i;0:;:5G2da8L7be3`>m6=44i7`94?=n90<1<75`19194?=zj;8j6=4<:183!7f:38nn6F=eb9K6ad<a=l1<75f17f94?=h9191<75rb335>5<3290;w)?n2;343>N5mj1C>il4i5d94?=n>k0;66g>9783>>i60:0;66sm23;94?5=83:p(<o=:3ga?M4bk2B9ho5f4g83>>o6>m0;66a>8283>>{e:8?1<7:50;2x 4g528=<7E<jc:J1`g=n<o0;66g9b;29?l7>>3:17b?73;29?xd5:10;6>4?:1y'5d4=:lh0D?kl;I0gf>o3n3:17d?9d;29?j7?;3:17pl=1583>1<729q/=l<51658L7cd3A8on6g;f;29?l0e2900e<79:188k4>42900qo<=7;297?6=8r.:m?4=ec9K6`e<@;ni7d:i:188m40c2900c<6<:188yg46;3:187>50z&2e7<6?>1C>hm4H3fa?l2a2900e;l50;9j5<0=831d=5=50;9~f74129086=4?{%3b6?4bj2B9in5G2e`8m1`=831b=;j50;9l5=5=831vn??=:187>5<7s-;j>7?87:J1af=O:mh0e9h50;9j2g<722c:5;4?::m2<6<722wi>?;50;194?6|,8k96?km;I0fg>N5lk1b8k4?::k22a<722e:4>4?::a647=83>1<7>t$0c1>4103A8no6F=dc9j0c<722c=n7>5;h3:2?6=3f;3?7>5;|`155<72=0;6=u+1`09521<@;oh7E<kb:k7b?6=3`<i6=44i0;5>5<<g8286=44}c00f?6=<3:1<v*>a38254=O:li0D?jm;%31<?4<a=i1<75f6283>>o1?3:17b?7c;29?xd5<:0;694?:1y'5d4=98;0D?kl;I0gf>"6:1097d:l:188m35=831b::4?::m2<f<722wi>9<50;694?6|,8k96<?>;I0fg>N5lk1/=?652:k7g?6=3`<86=44i7594?=h91i1<75rb362>5<3290;w)?n2;325>N5mj1C>il4$00;>7=n<j0;66g93;29?l002900c<6l:188yg4383:187>50z&2e7<6981C>hm4H3fa?!750380e9m50;9j26<722c=;7>5;n3;g?6=3th9?k4?:583>5}#9h81=<?4H3g`?M4cj2.:>54=;h6`>5<<a?91<75f6683>>i60j0;66sm22g94?2=83:p(<o=:032?M4bk2B9ho5+13:96>o3k3:17d8<:188m31=831d=5m50;9~f75c290?6=4?{%3b6?7692B9in5G2e`8 44?2;1b8n4?::k57?6=3`<<6=44o0:`>5<<uk88o7>54;294~"6i;0:=<5G2da8L7be3-;947<4i5a94?=n>:0;66g97;29?j7?k3:17pl=3`83>1<729q/=l<51038L7cd3A8on6*>2981?l2d2900e;=50;9j22<722e:4n4?::a610=83>1<7>t$0c1>4763A8no6F=dc9'57>=:2c?o7>5;h40>5<<a?=1<75`19a94?=zj;><6=4;:183!7f:3;:=6F=eb9K6ad<,8836?5f4b83>>o1;3:17d88:188k4>d2900qo<mb;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8im7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4e13:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0a<?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<m7;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8i:7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4e=3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0a0?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<m3;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8i>7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4f?3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0b2?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<n5;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8j87>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4f;3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0b6?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<n1;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8j<7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4>n3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c3`b?6=;3:1<v*>a38234=O:li0D?jm;%31<?g<,8226?hl;h32e?6=3`;:n7>5;n3;7?6=3th9;k4?:283>5}#9h81=:?4H3g`?M4cj2.:>54n;h32e?6=3`;:n7>5;n3;7?6=3th9ol4?:583>5}#9h81=:<4H3g`?M4cj2.:>54>0b9'5=?=:oi0e<?n:188m47e2900e<?l:188k4>42900qo<:3;293?6=8r.:m?4>749K6`e<@;ni7)?=8;33a>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i60:0;66sm1`194?1=83:p(<o=:056?M4bk2B9ho5+13:955d<a8;j6=44i03a>5<<a8;h6=44i03g>5<<a8;n6=44i03e>5<<g8286=44}c0g=?6=;3:1<v*>a38234=O:li0D?jm;%31<?g<a8;j6=44i03a>5<<g8286=44}c052?6==3:1<v*>a38236=O:li0D?jm;%31<?463`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;3?7>5;|`121<72<0;6=u+1`09525<@;oh7E<kb:&26=<592c:=l4?::k25g<722c:=n4?::k25a<722e:4>4?::a634=83?1<7>t$0c1>4143A8no6F=dc9'57>=:81b=<o50;9j54d=831b=<m50;9j54b=831d=5=50;9~f707290>6=4?{%3b6?70;2B9in5G2e`8 44?2;;0e<?n:188m47e2900e<?l:188m47c2900c<6<:188yg4013:197>50z&2e7<6?:1C>hm4H3fa?!75038;7d?>a;29?l76j3:17d?>c;29?l76l3:17b?73;29?xd5?>0;684?:1y'5d4=9>90D?kl;I0gf>"6:109<6g>1`83>>o69k0;66g>1b83>>o69m0;66a>8283>>{e:>?1<7;50;2x 4g528=87E<jc:J1`g=#9;21>>5f10c94?=n98h1<75f10a94?=n98n1<75`19194?=zj;=86=4::183!7f:3;<?6F=eb9K6ad<,8836?=4i03b>5<<a8;i6=44i03`>5<<a8;o6=44o0:0>5<<uk;i:7>55;294~"6i;0:;>5G2da8L7be3-;947<>;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3;7?6=3th:n94?:483>5}#9h81=:=4H3g`?M4cj2.:>54=1:k25d<722c:=o4?::k25f<722c:=i4?::m2<6<722wi=o<50;794?6|,8k96<9<;I0fg>N5lk1/=?65209j54g=831b=<l50;9j54e=831b=<j50;9l5=5=831vn<l?:186>5<7s-;j>7?83:J1af=O:mh0(<<7:338m47f2900e<?m:188m47d2900e<?k:188k4>42900qo?l9;291?6=8r.:m?4>729K6`e<@;ni7)?=8;03?l76i3:17d?>b;29?l76k3:17d?>d;29?j7?;3:17pl>c683>0<729q/=l<51618L7cd3A8on6*>29817>o69h0;66g>1c83>>o69j0;66g>1e83>>i60:0;66sm1b794?3=83:p(<o=:050?M4bk2B9ho5+13:965=n98k1<75f10`94?=n98i1<75f10f94?=h9191<75rb0a0>5<2290;w)?n2;347>N5mj1C>il4$00;>75<a8;j6=44i03a>5<<a8;h6=44i03g>5<<g8286=44}c3`5?6==3:1<v*>a38236=O:li0D?jm;%31<?443`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;3?7>5;|`2``<72?0;6=u+1`09522<@;oh7E<kb:&26=<69<1b=<o50;9j54d=831b=<m50;9j54b=831b=<k50;9l5=5=831vn<j<:185>5<7s-;j>7?84:J1af=O:mh0(<<7:49'5=?=:o<0e<?n:188m47e2900e<?l:188m47c2900e<?j:188k4>42900qo?kc;292?6=8r.:m?4>759K6`e<@;ni7)?=8;05?!7?138m:6g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>i60:0;66sm1e794?5=83:p(<o=:052?M4bk2B9ho5+13:953=#9131>kl4i03b>5<<a8;i6=44o0:0>5<<uk;o:7>54;294~"6i;0:;?5G2da8L7be3-;947?6;%3;=?4aj2c:=l4?::k25g<722c:=n4?::m2<6<722wi=i650;694?6|,8k96<9=;I0fg>N5lk1/=?651g9j54g=831b=<l50;9j54e=831d=5=50;9~f4b6290=6=4?{%3b6?70<2B9in5G2e`8 44?28:=7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7?;3:17pl=8883>3<729q/=l<51668L7cd3A8on6*>29812>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>8283>>{e:;o1<7850;2x 4g528=?7E<jc:J1`g=#9;21=<84i03b>5<<a8;i6=44i03`>5<<a8;o6=44i03f>5<<g8286=44}c070?6=<3:1<v*>a38254=O:li0D?jm;%31<?4<a=i1<75f6283>>o1?3:17b?7c;29?xd5=l0;684?:1y'5d4=9>90D?kl;I0gf>"6:10=7d?>a;29?l76j3:17d?>c;29?l76l3:17b?73;29?xd5?80;684?:1y'5d4=9>90D?kl;I0gf>"6:109?6g>1`83>>o69k0;66g>1b83>>o69m0;66a>8283>>{e9ho1<7;50;2x 4g528=87E<jc:J1`g=#9;21:6g>1`83>>o69k0;66g>1b83>>o69m0;66a>8283>>{e:1k1<7950;2x 4g528=>7E<jc:J1`g=#9;21>55f10c94?=n98h1<75f10a94?=n98n1<75f10g94?=n98l1<75`19194?=zj;2>6=4;:183!7f:3;<>6F=eb9K6ad<,8836<>k;%3;=?4a12c:=l4?::k25g<722c:=n4?::m2<6<722wi>5m50;194?6|,8k96<9>;I0fg>N5lk1/=?651d9'5=?=:ok0e<?n:188m47e2900c<6<:188yg4?j3:1;7>50z&2e7<6?<1C>hm4H3fa?!7503827d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?l76n3:17b?73;29?xd5080;694?:1y'5d4=9>80D?kl;I0gf>"6:10:j6*>8881bd=n98k1<75f10`94?=n98i1<75`19194?=zj;2;6=48:183!7f:3;<96F=eb9K6ad<,8836?74i03b>5<<a8;i6=44i03`>5<<a8;o6=44i03f>5<<a8;m6=44o0:0>5<<uk;oh7>57;294~"6i;0:;85G2da8L7be3-;947<7;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3;7?6=3th9>k4?:783>5}#9h81=::4H3g`?M4cj2.:>54=7:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722e:4>4?::a613=83=1<7>t$0c1>4123A8no6F=dc9'57>=:h1b=<o50;9j54d=831b=<m50;9j54b=831b=<k50;9j54`=831d=5=50;9~f77d29086=4?{%3b6?7092B9in5G2e`8 44?2;80e<?n:188m47e2900c<6<:188yg46l3:1?7>50z&2e7<6?81C>hm4H3fa?!7503897d?>a;29?l76j3:17b?73;29?xd59l0;6>4?:1y'5d4=9>;0D?kl;I0gf>"6:109>6g>1`83>>o69k0;66a>8283>>{e:8l1<7=50;2x 4g528=:7E<jc:J1`g=#9;21>?5f10c94?=n98h1<75`19194?=zj;8;6=4<:183!7f:3;<=6F=eb9K6ad<,8836?<4i03b>5<<a8;i6=44o0:0>5<<uk89=7>53;294~"6i;0:;<5G2da8L7be3-;947<=;h32e?6=3`;:n7>5;n3;7?6=3th9>?4?:283>5}#9h81=:?4H3g`?M4cj2.:>54=2:k25d<722c:=o4?::m2<6<722wi>?=50;194?6|,8k96<9>;I0fg>N5lk1/=?65239j54g=831b=<l50;9l5=5=831vn??n:180>5<7s-;j>7?81:J1af=O:mh0(<<7:308m47f2900e<?m:188k4>42900qo<;8;291?6=8r.:m?4>729K6`e<@;ni7)?=8;d8m47f2900e<?m:188m47d2900e<?k:188k4>42900qo<>b;297?6=8r.:m?4>709K6`e<@;ni7)?=8;01?l76i3:17d?>b;29?j7?;3:17pl>dc83>2<729q/=l<51678L7cd3A8on6*>2982g>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i60:0;66sm1e;94?3=83:p(<o=:050?M4bk2B9ho5+13:95d=#9131>k64i03b>5<<a8;i6=44i03`>5<<a8;o6=44o0:0>5<<uk;om7>56;294~"6i;0:;95G2da8L7be3-;947?m;%3;=?4a02c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2<6<722wi>5650;594?6|,8k96<9:;I0fg>N5lk1/=?65299j54g=831b=<l50;9j54e=831b=<j50;9j54c=831b=<h50;9l5=5=831vn?69:186>5<7s-;j>7?83:J1af=O:mh0(<<7:378 4>>2;l<7d?>a;29?l76j3:17d?>c;29?l76l3:17b?73;29?xd50>0;6;4?:1y'5d4=9>>0D?kl;I0gf>"6:109:6*>8881b2=n98k1<75f10`94?=n98i1<75f10f94?=n98o1<75`19194?=zj;296=4<:183!7f:3;<=6F=eb9K6ad<,8836?<4i03b>5<<a8;i6=44o0:0>5<<uk83?7>54;294~"6i;0:;?5G2da8L7be3-;947<;;%3;=?4a12c:=l4?::k25g<722c:=n4?::m2<6<722wi=h>50;594?6|,8k96<9:;I0fg>N5lk1/=?651b9j54g=831b=<l50;9j54e=831b=<j50;9j54c=831b=<h50;9l5=5=831vn<j;:181>5<7s-;j>7?9c:J1af=O:mh0e<?6:188k4>42900qo<ka;296?6=8r.:m?4>6b9K6`e<@;ni7d?>9;29?j7?;3:17pl>dg83>1<729q/=l<51608L7cd3A8on6*>2985<>o69h0;66g>1c83>>o69j0;66a>8283>>{e:kn1<7ll:183!7f:3;3h6F=eb9K6ad<R=h1ov659;33>42=980:97?=:e8`>`<6;3h1qc86:008j3g=9;1eh44?;oda>5=#9931==h4$02b>4g63-;9<7:4$002>1=#9;8186*>2287?!75<3>0(<<::59'570=<2.:>:4;;%31=?2<,88j695+13`90>"6:j0?7)?=d;68 44b2=1/=?h54:&275<33-;8=7:4$011>1=#9:9186*>3587?!74=3>0(<=9:59'561=<2.:?54;;%30=?2<,89j695+12`90>"6;j0?7)?<d;68 45b2=1/=>h54:&205<33-;?=7:4$061>1=#9=9186*>4587?!73=3>0(<:9:59'511=<2.:854;;%37=?2<,8>j695+15`90>"6<j0?7)?;d;68 42b2=1/=9h54:&215<33-;>=7:4$071>1=#9<9186*>5587?!72=3>0(<;9:59'501=<2.:954;;%36=?2<,8?j695+14`90>"6=j0?7)?:d;68 43b2=1/=8h54:&225<33-;==7:4$041>1=#9?9186*>6587?!71=3>0(<89:59'531=<2.::54;;%35=?5<,8<j6>5+17`95=4<,8386<o?;%3:0?2c3-;297:k;%3:=?2>3-;2m7?6c:&2=a<43-;2i7=4$3g;>4>b3-8n57?7e:k2<c<722c>:7>5;h33<?6=3`;2>7>5;h7a>5<<a83;6=44i0;2>5<<a8:<6=44ic094?"6010i=6`>8683?>oe83:1(<67:c38j4>02810elh50;&2<=<e92d:4:4=;:kba?6=,8236o?4n0:4>6=<a;o96=4+19:96`7<f82<6=54i3g3>5<#9121>h?4n0:4>4=<a;nm6=4+19:96`7<f82<6?54i3ff>5<#9121>h?4n0:4>6=<gm;1<7*>898g4>h60>0;76alf;29 4>?2m:0b<68:098kfb=83.:454k0:l2<2<532eho7>5$0:;>a6<f82<6>54ob`94?"6010o<6`>8687?>idi3:1(<67:e28j4>02<10cn750;&2<=<c82d:4:49;:m`<?6=,8236i>4n0:4>2=<gj=1<7*>898g4>h60>0376al6;29 4>?2m:0b<68:898kf3=83.:454k0:l2<2<f32eh87>5$0:;>a6<f82<6o54ob094?"6010o<6`>868`?>id93:1(<67:e28j4>02m10cn>50;&2<=<c82d:4:4j;:mab?6=,8236i>4n0:4>c=<gko1<7*>898g4>h60>0:<65`be83>!7?03n;7c?77;32?>iek3:1(<67:e28j4>028807blm:18'5=>=l91e=5951298kgg=83.:454k0:l2<2<6<21dn44?:%3;<?b73g;3;7?:;:mg<?6=,8236i>4n0:4>40<3fn<6=4+19:9`5=i91=1=:54oe494?"6010o<6`>8682<>=hl<0;6)?78;f3?k7??3;276ak4;29 4>?2m:0b<68:0c8?jb4290/=565d19m5=1=9k10ci<50;&2<=<c82d:4:4>c:9lg`<72-;347j?;o3;3?7c32eh?7>5$0:;>a6<f82<6<k4;n`;>5<#9121h=5a19595c=<a::1<7*>8981b>h60>0;76g=e;29 4>?2;l0b<68:098m7b=83.:454=f:l2<2<532c9o7>5$0:;>7`<f82<6>54i2;94?"60109j6`>8687?>o403:1(<67:3d8j4>02<10e>950;&2<=<5n2d:4:49;:k02?6=,8236?h4n0:4>2=<a:?1<7*>8981b>h60>0376g<4;29 4>?2;l0b<68:898m65=83.:454=f:l2<2<f32c8>7>5$0:;>7`<f82<6o54i2394?"60109j6`>868`?>o5j3:1(<67:3d8j4>02m10e>h50;&2<=<4m2d:4:4?;:k0`?6=,8236>k4n0:4>4=<a:i1<7*>8980a>h60>0976g<b;29 4>?2:o0b<68:298m1>=83.:454<e:l2<2<332c?;7>5$0:;>6c<f82<6854i5494?"60108i6`>8685?>o3=3:1(<67:2g8j4>02>10e9:50;&2<=<4m2d:4:47;:k77?6=,8236>k4n0:4><=<a=81<7*>8980a>h60>0j76g;1;29 4>?2:o0b<68:c98m16=83.:454<e:l2<2<d32c8m7>5$0:;>6c<f82<6i54i`494?"6010j96`>8683?>of<3:1(<67:`78j4>02810el<50;&2<=<f=2d:4:4=;:kb5?6=,8236l;4n0:4>6=<ah:1<7*>898b1>h60>0?76g6f;29 4>?2h?0b<68:498m<c=83.:454n5:l2<2<132c2h7>5$0:;>d3<f82<6:54i8a94?"6010j96`>868;?>o>j3:1(<67:`78j4>02010e4o50;&2<=<f=2d:4:4n;:k:=?6=,8236l;4n0:4>g=<a0=1<7*>898b1>h60>0h76g66;29 4>?2h?0b<68:e98m<3=83.:454n5:l2<2<b32c287>5$0:;>d3<f82<6k54i8194?"6010j96`>86824>=n1;0;6)?78;c6?k7??3;:76g61;29 4>?2h?0b<68:008?l?7290/=565a49m5=1=9:10e5h50;&2<=<f=2d:4:4>4:9j<`<72-;347o:;o3;3?7232cjh7>5$0:;>d3<f82<6<84;hc`>5<#9121m85a195952=<ahh1<7*>898b1>h60>0:465fa`83>!7?03k>7c?77;3:?>of13:1(<67:`78j4>028k07do7:18'5=>=i<1e=5951c98md1=83.:454n5:l2<2<6k21bm>4?:%3;<?g23g;3;7?k;:k:<?6=,8236l;4n0:4>4c<3`2o6=4+19:9e0=i91=1=k54o023>5<#9121jk5a19594>=hnl0;6)?78;de?k7??3;07bhk:18'5=>=no1e=5952:9lbf<72-;347hi;o3;3?5<3`h<6=4+19:9f3=i91=1<65fb483>!7?03h=7c?77;38?ld3290/=565b79m5=1=:21bn>4?:%3;<?d13g;3;7=4;n331?6=,8236<>;;o3;3?6<3f;;?7>5$0:;>4633g;3;7?4;n336?6=,8236<>;;o3;3?4<3f;;=7>5$0:;>4633g;3;7=4;nd0>5<#9121j?5a19594>=hn80;6)?78;d1?k7??3;07bki:18'5=>=n;1e=5952:9la`<72-;347h=;o3;3?5<3foo6=4+19:9b7=i91=1865`eb83>!7?03l97c?77;78?jce290/=565f39m5=1=>21dil4?:%3;<?`53g;3;794;ng:>5<#9121j?5a1959<>=hm10;6)?78;d1?k7??3307bk8:18'5=>=n;1e=595a:9la3<72-;347h=;o3;3?d<3fo?6=4+19:9b7=i91=1o65`e283>!7?03l97c?77;f8?jc5290/=565f39m5=1=m21di<4?:%3;<?`53g;3;7h4;ng3>5<#9121j?5a195955=<gml1<7*>898e6>h60>0:=65`dd83>!7?03l97c?77;31?>icl3:1(<67:g08j4>028907bjl:18'5=>=n;1e=5951598kad=83.:454i2:l2<2<6=21djl4?:%3;<?`53g;3;7?9;:me=?6=,8236k<4n0:4>41<3fl36=4+19:9b7=i91=1=554og594?"6010m>6`>8682=>=hn?0;6)?78;d1?k7??3;j76ai5;29 4>?2o80b<68:0`8?j`3290/=565f39m5=1=9j10ck>50;&2<=<a:2d:4:4>d:9la0<72-;347h=;o3;3?7b32eom7>5$0:;>c4<f82<6<h4;h0f3?6=,8236?k9;o3;3?6<3`8n97>5$0:;>7c13g;3;7?4;h0f0?6=,8236?k9;o3;3?4<3`8n?7>5$0:;>7c13g;3;7=4;h:6>5<#9121495a19594>=n0:0;6)?78;:7?k7??3;07d6>:18'5=>=0=1e=5952:9j<5<72-;3476;;o3;3?5<3`=m6=4+19:9<1=i91=1865f7d83>!7?032?7c?77;78?l1c290/=565859m5=1=>21b;n4?:%3;<?>33g;3;794;h5a>5<#9121495a1959<>=n?h0;6)?78;:7?k7??3307d96:18'5=>=0=1e=595a:9j3=<72-;3476;;o3;3?d<3`==6=4+19:9<1=i91=1o65f7483>!7?032?7c?77;f8?l13290/=565859m5=1=m21b;>4?:%3;<?>33g;3;7h4;h51>5<#9121495a195955=<a>;1<7*>898;0>h60>0:=65f7183>!7?032?7c?77;31?>o1n3:1(<67:968j4>028907d8j:18'5=>=0=1e=5951598m3b=83.:45474:l2<2<6=21b4n4?:%3;<?>33g;3;7?9;:k;f?6=,82365:4n0:4>41<3`2j6=4+19:9<1=i91=1=554i9;94?"6010386`>8682=>=n010;6)?78;:7?k7??3;j76g77;29 4>?21>0b<68:0`8?l>1290/=565859m5=1=9j10e5<50;&2<=<?<2d:4:4>d:9j32<72-;3476;;o3;3?7b32c=o7>5$0:;>=2<f82<6<h4;|`1g5<72ki1<7>t$0c1>4>c3A8no6F=dc9Y0g<ds1026<>515825?722881h7m5e;30>g<zf?31=?5a6`826>hc13:0bkl50:&24<<68o1/==o51`38 4472=1/=??54:&267<33-;9?7:4$007>1=#9;?186*>2787?!75?3>0(<<6:59'57g=<2.:>o4;;%31g?2<,88o695+13g90>"6:o0?7)?<0;68 4562=1/=><54:&276<33-;887:4$016>1=#9:<186*>3687?!7403>0(<=6:59'56g=<2.:?o4;;%30g?2<,89o695+12g90>"6;o0?7)?;0;68 4262=1/=9<54:&206<33-;?87:4$066>1=#9=<186*>4687?!7303>0(<:6:59'51g=<2.:8o4;;%37g?2<,8>o695+15g90>"6<o0?7)?:0;68 4362=1/=8<54:&216<33-;>87:4$076>1=#9<<186*>5687?!7203>0(<;6:59'50g=<2.:9o4;;%36g?2<,8?o695+14g90>"6=o0?7)?90;68 4062=1/=;<54:&226<33-;=87:4$046>1=#9?<186*>6687?!7103>0(<86:29'53g=;2.::o4>839'5<5=9h:0(<7;:5f8 4?22=n0(<76:5;8 4?f283h7)?6d;18 4?b2:1/>h6519g8 7c>282n7d?7f;29?l312900e<>7:188m4?52900e8l50;9j5<6=831b=4?50;9j551=831bn?4?:%3;<?d63g;3;7>4;h`3>5<#9121n<5a19595>=nio0;6)?78;`2?k7??3807doj:18'5=>=j81e=5953:9j6`4=83.:454=e09m5=1=821b>h>50;&2<=<5m81e=5951:9j6a`=83.:454=e09m5=1=:21b>ik50;&2<=<5m81e=5953:9l`4<72-;347j?;o3;3?6<3fim6=4+19:9`5=i91=1=65`ce83>!7?03n;7c?77;08?jed290/=565d19m5=1=;21doo4?:%3;<?b73g;3;7:4;nab>5<#9121h=5a19591>=hk00;6)?78;f3?k7??3<07bm7:18'5=>=l91e=5957:9lg2<72-;347j?;o3;3?><3fi=6=4+19:9`5=i91=1565`c483>!7?03n;7c?77;c8?je3290/=565d19m5=1=j21do?4?:%3;<?b73g;3;7m4;na2>5<#9121h=5a1959`>=hk90;6)?78;f3?k7??3o07bli:18'5=>=l91e=595f:9lf`<72-;347j?;o3;3?7732eih7>5$0:;>a6<f82<6<?4;n``>5<#9121h=5a195957=<gkh1<7*>898g4>h60>0:?65`b`83>!7?03n;7c?77;37?>ie13:1(<67:e28j4>028?07bj7:18'5=>=l91e=5951798ka1=83.:454k0:l2<2<6?21dh;4?:%3;<?b73g;3;7?7;:mg1?6=,8236i>4n0:4>4?<3fn?6=4+19:9`5=i91=1=l54oe194?"6010o<6`>8682f>=hl;0;6)?78;f3?k7??3;h76ale;29 4>?2m:0b<68:0f8?je4290/=565d19m5=1=9l10co650;&2<=<c82d:4:4>f:9j75<72-;347<i;o3;3?6<3`8n6=4+19:96c=i91=1=65f2e83>!7?038m7c?77;08?l4d290/=5652g9m5=1=;21b?44?:%3;<?4a3g;3;7:4;h1;>5<#9121>k5a19591>=n;>0;6)?78;0e?k7??3<07d=9:18'5=>=:o1e=5957:9j70<72-;347<i;o3;3?><3`9?6=4+19:96c=i91=1565f3283>!7?038m7c?77;c8?l55290/=5652g9m5=1=j21b?<4?:%3;<?4a3g;3;7m4;h0a>5<#9121>k5a1959`>=n;o0;6)?78;1f?k7??3:07d=k:18'5=>=;l1e=5951:9j7f<72-;347=j;o3;3?4<3`9i6=4+19:97`=i91=1?65f4983>!7?039n7c?77;68?l20290/=5653d9m5=1==21b8;4?:%3;<?5b3g;3;784;h66>5<#9121?h5a19593>=n<=0;6)?78;1f?k7??3207d:<:18'5=>=;l1e=5959:9j07<72-;347=j;o3;3?g<3`>:6=4+19:97`=i91=1n65f4183>!7?039n7c?77;a8?l5f290/=5653d9m5=1=l21bm;4?:%3;<?g23g;3;7>4;hc7>5<#9121m85a19595>=ni;0;6)?78;c6?k7??3807do>:18'5=>=i<1e=5953:9je5<72-;347o:;o3;3?2<3`3m6=4+19:9e0=i91=1965f9d83>!7?03k>7c?77;48?l?c290/=565a49m5=1=?21b5n4?:%3;<?g23g;3;764;h;a>5<#9121m85a1959=>=n1h0;6)?78;c6?k7??3k07d76:18'5=>=i<1e=595b:9j=2<72-;347o:;o3;3?e<3`3=6=4+19:9e0=i91=1h65f9483>!7?03k>7c?77;g8?l?3290/=565a49m5=1=n21b5>4?:%3;<?g23g;3;7??;:k:6?6=,8236l;4n0:4>47<3`3:6=4+19:9e0=i91=1=?54i8294?"6010j96`>86827>=n0o0;6)?78;c6?k7??3;?76g7e;29 4>?2h?0b<68:078?lgc290/=565a49m5=1=9?10elm50;&2<=<f=2d:4:4>7:9jeg<72-;347o:;o3;3?7?32cjm7>5$0:;>d3<f82<6<74;hc:>5<#9121m85a19595d=<ah21<7*>898b1>h60>0:n65fa683>!7?03k>7c?77;3`?>of;3:1(<67:`78j4>028n07d77:18'5=>=i<1e=5951d98m=b=83.:454n5:l2<2<6n21d==>50;&2<=<an2d:4:4?;:mea?6=,8236kh4n0:4>4=<gon1<7*>898eb>h60>0976aic;29 4>?2ol0b<68:298mg1=83.:454m6:l2<2<732ci97>5$0:;>g0<f82<6<54ic694?"6010i:6`>8681?>oe;3:1(<67:c48j4>02:10c<>::18'5=>=99>0b<68:198k464290/=5651168j4>02810c<>=:18'5=>=99>0b<68:398k466290/=5651168j4>02:10ck=50;&2<=<a:2d:4:4?;:me5?6=,8236k<4n0:4>4=<gll1<7*>898e6>h60>0976aje;29 4>?2o80b<68:298k`b=83.:454i2:l2<2<332eno7>5$0:;>c4<f82<6854od`94?"6010m>6`>8685?>ibi3:1(<67:g08j4>02>10ch750;&2<=<a:2d:4:47;:mf<?6=,8236k<4n0:4><=<gl=1<7*>898e6>h60>0j76aj6;29 4>?2o80b<68:c98k`2=83.:454i2:l2<2<d32en?7>5$0:;>c4<f82<6i54od094?"6010m>6`>868f?>ib93:1(<67:g08j4>02o10ch>50;&2<=<a:2d:4:4>0:9l`c<72-;347h=;o3;3?7632eoi7>5$0:;>c4<f82<6<<4;nfg>5<#9121j?5a195956=<gmi1<7*>898e6>h60>0:865`dc83>!7?03l97c?77;36?>iai3:1(<67:g08j4>028<07bh6:18'5=>=n;1e=5951698kc>=83.:454i2:l2<2<6021dj:4?:%3;<?`53g;3;7?6;:me2?6=,8236k<4n0:4>4g<3fl>6=4+19:9b7=i91=1=o54og694?"6010m>6`>8682g>=hn90;6)?78;d1?k7??3;o76aj5;29 4>?2o80b<68:0g8?jbf290/=565f39m5=1=9o10e?k8:18'5=>=:l<0b<68:198m7c2290/=5652d48j4>02810e?k;:18'5=>=:l<0b<68:398m7c4290/=5652d48j4>02:10e5;50;&2<=<?<2d:4:4?;:k;7?6=,82365:4n0:4>4=<a1;1<7*>898;0>h60>0976g70;29 4>?21>0b<68:298m2`=83.:45474:l2<2<332c<i7>5$0:;>=2<f82<6854i6f94?"6010386`>8685?>o0k3:1(<67:968j4>02>10e:l50;&2<=<?<2d:4:47;:k4e?6=,82365:4n0:4><=<a>31<7*>898;0>h60>0j76g88;29 4>?21>0b<68:c98m20=83.:45474:l2<2<d32c<97>5$0:;>=2<f82<6i54i6694?"6010386`>868f?>o0;3:1(<67:968j4>02o10e:<50;&2<=<?<2d:4:4>0:9j34<72-;3476;;o3;3?7632c<<7>5$0:;>=2<f82<6<<4;h4e>5<#9121495a195956=<a?o1<7*>898;0>h60>0:865f6e83>!7?032?7c?77;36?>o?k3:1(<67:968j4>028<07d6m:18'5=>=0=1e=5951698m=g=83.:45474:l2<2<6021b444?:%3;<?>33g;3;7?6;:k;<?6=,82365:4n0:4>4g<3`2<6=4+19:9<1=i91=1=o54i9494?"6010386`>8682g>=n0;0;6)?78;:7?k7??3;o76g87;29 4>?21>0b<68:0g8?l0d290/=565859m5=1=9o10qo<l3;29ff<729q/=l<519f8L7cd3A8on6T;b;ax<??=990:87?>:07957<c2j0n6<=5b;m2<<6:2d=m7?=;of:>5=ink0;7)??9;33b>"68h0:m<5+13290>"6:80?7)?=2;68 4442=1/=?:54:&260<33-;9:7:4$004>1=#9;3186*>2`87?!75j3>0(<<l:59'57b=<2.:>h4;;%31b?2<,89;695+12390>"6;;0?7)?<3;68 4532=1/=>;54:&273<33-;8;7:4$01;>1=#9:3186*>3`87?!74j3>0(<=l:59'56b=<2.:?h4;;%30b?2<,8>;695+15390>"6<;0?7)?;3;68 4232=1/=9;54:&203<33-;?;7:4$06;>1=#9=3186*>4`87?!73j3>0(<:l:59'51b=<2.:8h4;;%37b?2<,8?;695+14390>"6=;0?7)?:3;68 4332=1/=8;54:&213<33-;>;7:4$07;>1=#9<3186*>5`87?!72j3>0(<;l:59'50b=<2.:9h4;;%36b?2<,8<;695+17390>"6>;0?7)?93;68 4032=1/=;;54:&223<33-;=;7:4$04;>1=#9?31?6*>6`80?!71j3;3>6*>9282e5=#90>18i5+18790a=#9031845+18c95<e<,83o6>5+18g97>"5m10:4h5+2d;95=c<a82m6=44i4494?=n9921<75f18094?=n=k0;66g>9183>>o6180;66g>0683>>oe:3:1(<67:c38j4>02910eo>50;&2<=<e92d:4:4>;:kbb?6=,8236o?4n0:4>7=<aho1<7*>898a5>h60>0876g=e383>!7?038n=6`>8683?>o5m90;6)?78;0f5>h60>0:76g=dg83>!7?038n=6`>8681?>o5ll0;6)?78;0f5>h60>0876ak1;29 4>?2m:0b<68:198kf`=83.:454k0:l2<2<632ehh7>5$0:;>a6<f82<6?54oba94?"6010o<6`>8680?>idj3:1(<67:e28j4>02=10cno50;&2<=<c82d:4:4:;:m`=?6=,8236i>4n0:4>3=<gj21<7*>898g4>h60>0<76al7;29 4>?2m:0b<68:998kf0=83.:454k0:l2<2<>32eh97>5$0:;>a6<f82<6l54ob694?"6010o<6`>868a?>id:3:1(<67:e28j4>02j10cn?50;&2<=<c82d:4:4k;:m`4?6=,8236i>4n0:4>`=<gkl1<7*>898g4>h60>0m76ame;29 4>?2m:0b<68:028?jdc290/=565d19m5=1=9810com50;&2<=<c82d:4:4>2:9lfg<72-;347j?;o3;3?7432eim7>5$0:;>a6<f82<6<:4;n`:>5<#9121h=5a195950=<gm21<7*>898g4>h60>0::65`d683>!7?03n;7c?77;34?>ic>3:1(<67:e28j4>028207bj::18'5=>=l91e=5951898ka2=83.:454k0:l2<2<6i21dh>4?:%3;<?b73g;3;7?m;:mg6?6=,8236i>4n0:4>4e<3fin6=4+19:9`5=i91=1=i54ob194?"6010o<6`>8682a>=hj10;6)?78;f3?k7??3;m76g<0;29 4>?2;l0b<68:198m7c=83.:454=f:l2<2<632c9h7>5$0:;>7`<f82<6?54i3a94?"60109j6`>8680?>o413:1(<67:3d8j4>02=10e>650;&2<=<5n2d:4:4:;:k03?6=,8236?h4n0:4>3=<a:<1<7*>8981b>h60>0<76g<5;29 4>?2;l0b<68:998m62=83.:454=f:l2<2<>32c8?7>5$0:;>7`<f82<6l54i2094?"60109j6`>868a?>o493:1(<67:3d8j4>02j10e?l50;&2<=<5n2d:4:4k;:k0b?6=,8236>k4n0:4>5=<a:n1<7*>8980a>h60>0:76g<c;29 4>?2:o0b<68:398m6d=83.:454<e:l2<2<432c?47>5$0:;>6c<f82<6954i5594?"60108i6`>8686?>o3>3:1(<67:2g8j4>02?10e9;50;&2<=<4m2d:4:48;:k70?6=,8236>k4n0:4>==<a=91<7*>8980a>h60>0276g;2;29 4>?2:o0b<68:`98m17=83.:454<e:l2<2<e32c?<7>5$0:;>6c<f82<6n54i2c94?"60108i6`>868g?>of>3:1(<67:`78j4>02910el:50;&2<=<f=2d:4:4>;:kb6?6=,8236l;4n0:4>7=<ah;1<7*>898b1>h60>0876gn0;29 4>?2h?0b<68:598m<`=83.:454n5:l2<2<232c2i7>5$0:;>d3<f82<6;54i8f94?"6010j96`>8684?>o>k3:1(<67:`78j4>02110e4l50;&2<=<f=2d:4:46;:k:e?6=,8236l;4n0:4>d=<a031<7*>898b1>h60>0i76g67;29 4>?2h?0b<68:b98m<0=83.:454n5:l2<2<c32c297>5$0:;>d3<f82<6h54i8694?"6010j96`>868e?>o>;3:1(<67:`78j4>028:07d7=:18'5=>=i<1e=5951098m<7=83.:454n5:l2<2<6:21b5=4?:%3;<?g23g;3;7?<;:k;b?6=,8236l;4n0:4>42<3`2n6=4+19:9e0=i91=1=854i`f94?"6010j96`>86822>=nij0;6)?78;c6?k7??3;<76gnb;29 4>?2h?0b<68:0:8?lgf290/=565a49m5=1=9010el750;&2<=<f=2d:4:4>a:9je=<72-;347o:;o3;3?7e32cj;7>5$0:;>d3<f82<6<m4;hc0>5<#9121m85a19595a=<a021<7*>898b1>h60>0:i65f8e83>!7?03k>7c?77;3e?>i6890;6)?78;de?k7??3:07bhj:18'5=>=no1e=5951:9lba<72-;347hi;o3;3?4<3flh6=4+19:9bc=i91=1?65fb683>!7?03h=7c?77;28?ld2290/=565b79m5=1=921bn94?:%3;<?d13g;3;7<4;h`0>5<#9121n;5a19597>=h99?1<7*>898241=i91=1<65`11194?"6010:<95a19595>=h9981<7*>898241=i91=1>65`11394?"6010:<95a19597>=hn:0;6)?78;d1?k7??3:07bh>:18'5=>=n;1e=5951:9lac<72-;347h=;o3;3?4<3fon6=4+19:9b7=i91=1?65`ee83>!7?03l97c?77;68?jcd290/=565f39m5=1==21dio4?:%3;<?`53g;3;784;ngb>5<#9121j?5a19593>=hm00;6)?78;d1?k7??3207bk7:18'5=>=n;1e=5959:9la2<72-;347h=;o3;3?g<3fo=6=4+19:9b7=i91=1n65`e583>!7?03l97c?77;a8?jc4290/=565f39m5=1=l21di?4?:%3;<?`53g;3;7k4;ng2>5<#9121j?5a1959b>=hm90;6)?78;d1?k7??3;;76akf;29 4>?2o80b<68:038?jbb290/=565f39m5=1=9;10cij50;&2<=<a:2d:4:4>3:9l`f<72-;347h=;o3;3?7332eon7>5$0:;>c4<f82<6<;4;ndb>5<#9121j?5a195953=<go31<7*>898e6>h60>0:;65`f983>!7?03l97c?77;3;?>ia?3:1(<67:g08j4>028307bh9:18'5=>=n;1e=5951`98kc3=83.:454i2:l2<2<6j21dj94?:%3;<?`53g;3;7?l;:me4?6=,8236k<4n0:4>4b<3fo>6=4+19:9b7=i91=1=h54oec94?"6010m>6`>8682b>=n:l=1<7*>8981a3=i91=1<65f2d794?"60109i;5a19595>=n:l>1<7*>8981a3=i91=1>65f2d194?"60109i;5a19597>=n0<0;6)?78;:7?k7??3:07d6<:18'5=>=0=1e=5951:9j<4<72-;3476;;o3;3?4<3`2;6=4+19:9<1=i91=1?65f7g83>!7?032?7c?77;68?l1b290/=565859m5=1==21b;i4?:%3;<?>33g;3;784;h5`>5<#9121495a19593>=n?k0;6)?78;:7?k7??3207d9n:18'5=>=0=1e=5959:9j3<<72-;3476;;o3;3?g<3`=36=4+19:9<1=i91=1n65f7783>!7?032?7c?77;a8?l12290/=565859m5=1=l21b;94?:%3;<?>33g;3;7k4;h50>5<#9121495a1959b>=n?;0;6)?78;:7?k7??3;;76g81;29 4>?21>0b<68:038?l17290/=565859m5=1=9;10e;h50;&2<=<?<2d:4:4>3:9j2`<72-;3476;;o3;3?7332c=h7>5$0:;>=2<f82<6<;4;h:`>5<#9121495a195953=<a1h1<7*>898;0>h60>0:;65f8`83>!7?032?7c?77;3;?>o?13:1(<67:968j4>028307d67:18'5=>=0=1e=5951`98m=1=83.:45474:l2<2<6j21b4;4?:%3;<?>33g;3;7?l;:k;6?6=,82365:4n0:4>4b<3`=<6=4+19:9<1=i91=1=h54i7a94?"6010386`>8682b>=zj;i=6=4mc;294~"6i;0:4i5G2da8L7be3S>i6nu7:8824?7328;1=84>2;f9g?c=9:0i6p`99;31?k0f2880bi750:lef?6<,8:26<>i;%33e?7f92.:>=4;;%315?2<,889695+13190>"6:=0?7)?=5;68 4412=1/=?954:&26<<33-;9m7:4$00a>1=#9;i186*>2e87?!75m3>0(<<i:59'566=<2.:?<4;;%306?2<,898695+12690>"6;<0?7)?<6;68 4502=1/=>654:&27<<33-;8m7:4$01a>1=#9:i186*>3e87?!74m3>0(<=i:59'516=<2.:8<4;;%376?2<,8>8695+15690>"6<<0?7)?;6;68 4202=1/=9654:&20<<33-;?m7:4$06a>1=#9=i186*>4e87?!73m3>0(<:i:59'506=<2.:9<4;;%366?2<,8?8695+14690>"6=<0?7)?:6;68 4302=1/=8654:&21<<33-;>m7:4$07a>1=#9<i186*>5e87?!72m3>0(<;i:59'536=<2.::<4;;%356?2<,8<8695+17690>"6><0?7)?96;68 4002=1/=;654:&22<<43-;=m7=4$04a>4>53-;2?7?n0:&2=1<3l2.:584;d:&2=<<312.:5l4>9b9'5<b=;2.:5h4<;%0f<?7?m2.9i44>8d9j5=`=831b9;4?::k24=<722c:5?4?::k6f?6=3`;2<7>5;h3:5?6=3`;;;7>5;h`1>5<#9121n<5a19594>=nj90;6)?78;`2?k7??3;07doi:18'5=>=j81e=5952:9je`<72-;347l>;o3;3?5<3`8n>7>5$0:;>7c63g;3;7>4;h0f4?6=,8236?k>;o3;3?7<3`8oj7>5$0:;>7c63g;3;7<4;h0ga?6=,8236?k>;o3;3?5<3fn:6=4+19:9`5=i91=1<65`cg83>!7?03n;7c?77;38?jec290/=565d19m5=1=:21don4?:%3;<?b73g;3;7=4;naa>5<#9121h=5a19590>=hkh0;6)?78;f3?k7??3?07bm6:18'5=>=l91e=5956:9lg=<72-;347j?;o3;3?1<3fi<6=4+19:9`5=i91=1465`c783>!7?03n;7c?77;;8?je2290/=565d19m5=1=i21do94?:%3;<?b73g;3;7l4;na1>5<#9121h=5a1959g>=hk80;6)?78;f3?k7??3n07bm?:18'5=>=l91e=595e:9lfc<72-;347j?;o3;3?`<3fhn6=4+19:9`5=i91=1==54ocf94?"6010o<6`>86825>=hjj0;6)?78;f3?k7??3;976amb;29 4>?2m:0b<68:018?jdf290/=565d19m5=1=9=10co750;&2<=<c82d:4:4>5:9l`=<72-;347j?;o3;3?7132eo;7>5$0:;>a6<f82<6<94;nf5>5<#9121h=5a19595==<gm?1<7*>898g4>h60>0:565`d583>!7?03n;7c?77;3b?>ic;3:1(<67:e28j4>028h07bj=:18'5=>=l91e=5951b98kfc=83.:454k0:l2<2<6l21do>4?:%3;<?b73g;3;7?j;:ma<?6=,8236i>4n0:4>4`<3`9;6=4+19:96c=i91=1<65f2d83>!7?038m7c?77;38?l4c290/=5652g9m5=1=:21b>n4?:%3;<?4a3g;3;7=4;h1:>5<#9121>k5a19590>=n;10;6)?78;0e?k7??3?07d=8:18'5=>=:o1e=5956:9j73<72-;347<i;o3;3?1<3`9>6=4+19:96c=i91=1465f3583>!7?038m7c?77;;8?l54290/=5652g9m5=1=i21b??4?:%3;<?4a3g;3;7l4;h12>5<#9121>k5a1959g>=n:k0;6)?78;0e?k7??3n07d=i:18'5=>=;l1e=5950:9j7a<72-;347=j;o3;3?7<3`9h6=4+19:97`=i91=1>65f3c83>!7?039n7c?77;18?l2?290/=5653d9m5=1=<21b8:4?:%3;<?5b3g;3;7;4;h65>5<#9121?h5a19592>=n<<0;6)?78;1f?k7??3=07d:;:18'5=>=;l1e=5958:9j06<72-;347=j;o3;3??<3`>96=4+19:97`=i91=1m65f4083>!7?039n7c?77;`8?l27290/=5653d9m5=1=k21b?l4?:%3;<?5b3g;3;7j4;hc5>5<#9121m85a19594>=ni=0;6)?78;c6?k7??3;07do=:18'5=>=i<1e=5952:9je4<72-;347o:;o3;3?5<3`k;6=4+19:9e0=i91=1865f9g83>!7?03k>7c?77;78?l?b290/=565a49m5=1=>21b5i4?:%3;<?g23g;3;794;h;`>5<#9121m85a1959<>=n1k0;6)?78;c6?k7??3307d7n:18'5=>=i<1e=595a:9j=<<72-;347o:;o3;3?d<3`3<6=4+19:9e0=i91=1o65f9783>!7?03k>7c?77;f8?l?2290/=565a49m5=1=m21b594?:%3;<?g23g;3;7h4;h;0>5<#9121m85a195955=<a081<7*>898b1>h60>0:=65f9083>!7?03k>7c?77;31?>o>83:1(<67:`78j4>028907d6i:18'5=>=i<1e=5951598m=c=83.:454n5:l2<2<6=21bmi4?:%3;<?g23g;3;7?9;:kbg?6=,8236l;4n0:4>41<3`ki6=4+19:9e0=i91=1=554i`c94?"6010j96`>8682=>=ni00;6)?78;c6?k7??3;j76gn8;29 4>?2h?0b<68:0`8?lg0290/=565a49m5=1=9j10el=50;&2<=<f=2d:4:4>d:9j==<72-;347o:;o3;3?7b32c3h7>5$0:;>d3<f82<6<h4;n334?6=,8236kh4n0:4>5=<goo1<7*>898eb>h60>0:76aid;29 4>?2ol0b<68:398kce=83.:454if:l2<2<432ci;7>5$0:;>g0<f82<6=54ic794?"6010i:6`>8682?>oe<3:1(<67:c48j4>02;10eo=50;&2<=<e>2d:4:4<;:m240<72-;347??4:l2<2<732e:<>4?:%3;<?77<2d:4:4>;:m247<72-;347??4:l2<2<532e:<<4?:%3;<?77<2d:4:4<;:me7?6=,8236k<4n0:4>5=<go;1<7*>898e6>h60>0:76ajf;29 4>?2o80b<68:398k`c=83.:454i2:l2<2<432enh7>5$0:;>c4<f82<6954oda94?"6010m>6`>8686?>ibj3:1(<67:g08j4>02?10cho50;&2<=<a:2d:4:48;:mf=?6=,8236k<4n0:4>==<gl21<7*>898e6>h60>0276aj7;29 4>?2o80b<68:`98k`0=83.:454i2:l2<2<e32en87>5$0:;>c4<f82<6n54od194?"6010m>6`>868g?>ib:3:1(<67:g08j4>02l10ch?50;&2<=<a:2d:4:4i;:mf4?6=,8236k<4n0:4>46<3fnm6=4+19:9b7=i91=1=<54oeg94?"6010m>6`>86826>=hlm0;6)?78;d1?k7??3;876akc;29 4>?2o80b<68:068?jbe290/=565f39m5=1=9<10cko50;&2<=<a:2d:4:4>6:9lb<<72-;347h=;o3;3?7032em47>5$0:;>c4<f82<6<64;nd4>5<#9121j?5a19595<=<go<1<7*>898e6>h60>0:m65`f483>!7?03l97c?77;3a?>ia<3:1(<67:g08j4>028i07bh?:18'5=>=n;1e=5951e98k`3=83.:454i2:l2<2<6m21dhl4?:%3;<?`53g;3;7?i;:k1a2<72-;347<j6:l2<2<732c9i84?:%3;<?4b>2d:4:4>;:k1a1<72-;347<j6:l2<2<532c9i>4?:%3;<?4b>2d:4:4<;:k;1?6=,82365:4n0:4>5=<a191<7*>898;0>h60>0:76g71;29 4>?21>0b<68:398m=6=83.:45474:l2<2<432c<j7>5$0:;>=2<f82<6954i6g94?"6010386`>8686?>o0l3:1(<67:968j4>02?10e:m50;&2<=<?<2d:4:48;:k4f?6=,82365:4n0:4>==<a>k1<7*>898;0>h60>0276g89;29 4>?21>0b<68:`98m2>=83.:45474:l2<2<e32c<:7>5$0:;>=2<f82<6n54i6794?"6010386`>868g?>o0<3:1(<67:968j4>02l10e:=50;&2<=<?<2d:4:4i;:k46?6=,82365:4n0:4>46<3`=:6=4+19:9<1=i91=1=<54i6294?"6010386`>86826>=n>o0;6)?78;:7?k7??3;876g9e;29 4>?21>0b<68:068?l0c290/=565859m5=1=9<10e5m50;&2<=<?<2d:4:4>6:9j<g<72-;3476;;o3;3?7032c3m7>5$0:;>=2<f82<6<64;h::>5<#9121495a19595<=<a121<7*>898;0>h60>0:m65f8683>!7?032?7c?77;3a?>o?>3:1(<67:968j4>028i07d6=:18'5=>=0=1e=5951e98m21=83.:45474:l2<2<6m21b:n4?:%3;<?>33g;3;7?i;:p7d5=838pR>o<;<0g1?7?i2wx=:o50;7x97cb282>70<:8;6e?841j3>m70?n8;6e?87ej3>m7p}>7b83>45?s48nh7?>7:?1`6<1?279h9497:?1gc<1?279h=497:?1`0<1?2799549b:?11<<1j2799l49b:?11g<1j2799n49b:?12g<1j279:n49b:?12a<1j279:h49b:?12c<1j27:m549b:?2e<<1j27:ml49b:?2eg<1j27:mn49b:?2fg<1j27:nn49b:?2fa<1j27:nh49b:?2fc<1j279==4;f:?155<61?16>oj5329>6gb=;;16>oj5309>6gb=:k16>oj5439>6gb=<816>oj5419>6gb=;h16>oj5849>6gb=0:16>oj5809>6gb=0916>oj57g9>6gb=?l16>oj57e9>6gb=?j16>oj57c9>6gb=?h16>oj5789>6gb=?116>oj5779>6gb=?<16>oj5759>6gb=?:16>oj5a79>6gb=i=16>oj5a39>6gb=i816>oj5a19>6gb=1o16>oj59d9>6gb=1m16>oj59b9>6gb=1k16>oj59`9>6gb=1016>oj5969>6gb=1?16>oj5949>6gb=1=16>oj5929>6gb=1;16>oj5909>6gb=1916>oj58g9>6gb=0l16>oj5ae9>6gb=ij16>oj5ac9>6gb=ih16>oj5a89>6gb=i116>oj5a69>6gb=i:16>oj5999>6gb=0m16>oj5b39>6gb=j916>oj5b69>6gb=j<16>oj5b59>6gb=j:16>oj52d5897dc2;o>70<md;0f0>;5jm09i>522cf95=`<5;ho6<7?;<0a`?7>9279o=4<3:?1g5<4:279o=4<1:?1g5<5j279o=4;2:?1g5<39279o=4;0:?1g5<4i279o=475:?1g5<?;279o=471:?1g5<?8279o=48f:?1g5<0m279o=48d:?1g5<0k279o=48b:?1g5<0i279o=489:?1g5<00279o=486:?1g5<0=279o=484:?1g5<0;279o=4n6:?1g5<f<279o=4n2:?1g5<f9279o=4n0:?1g5<>n279o=46e:?1g5<>l279o=46c:?1g5<>j279o=46a:?1g5<>1279o=467:?1g5<>>279o=465:?1g5<><279o=463:?1g5<>:279o=461:?1g5<>8279o=47f:?1g5<?m279o=4nd:?1g5<fk279o=4nb:?1g5<fi279o=4n9:?1g5<f0279o=4n7:?1g5<f;279o=468:?1g5<?l279o=4m2:?1g5<e8279o=4m7:?1g5<e=279o=4m4:?1g5<e;279o=4=e69>6f6=:l?01?m?:3g7?84d838n?63=c182<c=::j:1=4>4=3a3>4?6348h?7=<;<0`7?55348h?7=>;<0`7?4e348h?7:=;<0`7?26348h?7:?;<0`7?5f348h?76:;<0`7?>4348h?76>;<0`7?>7348h?79i;<0`7?1b348h?79k;<0`7?1d348h?79m;<0`7?1f348h?796;<0`7?1?348h?799;<0`7?12348h?79;;<0`7?14348h?7o9;<0`7?g3348h?7o=;<0`7?g6348h?7o?;<0`7??a348h?77j;<0`7??c348h?77l;<0`7??e348h?77n;<0`7??>348h?778;<0`7??1348h?77:;<0`7??3348h?77<;<0`7??5348h?77>;<0`7??7348h?76i;<0`7?>b348h?7ok;<0`7?gd348h?7om;<0`7?gf348h?7o6;<0`7?g?348h?7o8;<0`7?g4348h?777;<0`7?>c348h?7l=;<0`7?d7348h?7l8;<0`7?d2348h?7l;;<0`7?d4348h?7<j7:?1g6<5m<16>n=52d6897e42;o870<l3;3;b>;5k:0:5=522b195<7<5;i=6>=4=3a5>64<5;i=6>?4=3a5>7d<5;i=69<4=3a5>17<5;i=69>4=3a5>6g<5;i=65;4=3a5>=5<5;i=65?4=3a5>=6<5;i=6:h4=3a5>2c<5;i=6:j4=3a5>2e<5;i=6:l4=3a5>2g<5;i=6:74=3a5>2><5;i=6:84=3a5>23<5;i=6::4=3a5>25<5;i=6:<4=3a5>27<5;i=6:>4=3a5>=g<5;i=6574=3a5>=><5;i=6l84=3a5>d2<5;i=6l<4=3a5>d7<5;i=6l>4=3a5><`<5;i=64k4=3a5><b<5;i=64m4=3a5><d<5;i=64o4=3a5><?<5;i=6494=3a5><0<5;i=64;4=3a5><2<5;i=64=4=3a5><4<5;i=64?4=3a5><6<5;i=65h4=3a5>=c<5;i=6lj4=3a5>de<5;i=6ll4=3a5>dg<5;i=6l74=3a5>d><5;i=6l94=3a5>d5<5;i=6464=3a5>=b<5;i=6o<4=3a5>g6<5;i=6lh4=3a5>dc<5;i=6o94=3a5>g3<5;i=6o:4=3a5>g5<5;i=6?k8;<0`2?4b=279o;4=e59>6f0=:l901?m9:0:e?84d>3;2<63=c782=4=z{;>?6=4;{_1:`>;5<=0:4n5223d954g<5;>>6<?n;|q13`<72;qU?464=35f>4>d3ty9oo4?:07x94`32=n01<h::5f894`12=n01<h8:5f894`?2=n01<h6:5f894`f2=n01<hm:5f894`d2=n01<hk:5f897602=n01?>7:5f8976>2=n01?>n:5f8976e2=n01?>l:5f8976c2=n01?>j:5f8976a2=n01?:9:75894ea28287p}>ce83>3}:9jn1=5m4=0ae>47e348hm7?>a:?10=<69j16>8=510f894g428;o7p}>cd83>7}Y;0801<mj:0:`?xu4=80;6?uQ343897dc2mk0q~=:c;296~X4=j16>oj5e49~w6002909wS=97:?1fa<a82wx?:<50;0xZ615348ih7h;;|q03a<72;qU?:j4=3`g>c3<uz9347>52z\0<==::kn1j;5rs2:f>5<5sW93i63=be8e3>{t;1l1<7<t^2:e?84el3l37p}<9183>7}Y;0:01?lk:022?xu4180;6?uQ383897dc2o30q~=:2;296~X4=;16>oj5f`9~w6342909wS=:3:?1fa<cj2wx?8:50;0xZ633348ih7jl;|q010<72;qU?8;4=3`g>ab<uz9>:7>52z\013=::kn1hh5rs274>5<5sW9>;63=be8gb>{t;<21<7<t^27;?84el3o;7p}<5883>7}Y;<301?lk:021?xu4=h0;6?uQ34c897e72mk0q~=:b;296~X4=k16>n>5e49~w63c2909wS=:d:?1g5<a82wx?8k50;0xZ63b348h<7h;;|q01c<72;qU?8h4=3a3>c3<uz9=<7>52z\025=::j:1j;5rs242>5<5sW9==63=c18e3>{t;?81<7<t^241?84d83l37p}<6283>7}Y;?901?m?:022?xu4>=0;6?uQ376897e72o30q~=95;296~X4><16>n>5f`9~w6012909wS=96:?1g5<cj2wx?;650;0xZ60?348h<7jl;|q02<<72;qU?;74=3a3>ab<uz9=m7>52z\02d=::j:1hh5rs24a>5<5sW9=n63=c18gb>{t;?i1<7<t^24`?84d83o;7p}<6e83>7}Y;?n01?m?:021?xu4>l0;6?uQ37g897e42mk0q~=9f;296~X4>o16>n=5e49~w6172909wS=80:?1g6<a82wx?:?50;0xZ616348h?7h;;|q036<72;qU?:=4=3a0>c3<uz9<87>52z\031=::j91j;5rs256>5<5sW9<963=c28e3>{t;><1<7<t^255?84d;3l37p}<7683>7}Y;>=01?m<:022?xu4?10;6?uQ36:897e42o30q~=89;296~X4?016>n=5f`9~w61f2909wS=8a:?1g6<cj2wx?:l50;0xZ61e348h?7jl;|q03f<72;qU?:m4=3a0>ab<uz9<i7>52z\03`=::j91hh5rs25e>5<5sW9<j63=c28gb>{t;1:1<7<t^2:3?84d;3o;7p}<8083>7}Y;1;01?m<:021?xu40;0;6?uQ390897e12mk0q~=73;296~X40:16>n85e49~w6>32909wS=74:?1g3<a82wx?5;50;0xZ6>2348h:7h;;|q0<3<72;qU?584=3a5>c3<uz93;7>52z\0<2=::j<1j45rs2::>5<5sW93563=c78ee>{t;1k1<7<t^2:b?84d>3ni7p}<8c83>7}Y;1h01?m9:ea8yv5?l3:1>vP<8e9>6f0=lm1v?j>:187840l3<870<8e;40?84c;3;3o63=45857>{t:jo1<7?9{<3``?7?i27:oh4>8`9>6a6=91i01<h;:0:b?87a=3<870?i6;40?87a?3<870?i8;40?87a13<870?ia;40?87aj3<870?ic;40?87al3<870<?7;40?84703<870<?9;40?847i3<870<?b;40?847k3<870<?d;40?847m3<870<?f;40?xu5l;0;6?>t=3f7>4>d348?;78<;<072?043488m78<;<00g?043488h78<;<00a?043488j78<;<074?04348?=78<;<076?04348??78<;<00f?043482j78<;<0b4?04348j=78<;<0b6?04348j?78<;<0b0?04348j978<;<0b2?04348j;78<;<0a6?04348i?78<;<0a0?04348i978<;<0a2?04348i;78<;<0a<?04348i578<;<0ae?04348in78<;|q0e0<72<qU?l;4=35e>47f348?47?>b:?116<69h16=l=510`8yv5>n3:1:vP<9g9>5f`=98k01?mn:03a?84303;:h63=52825g=:9h91=<o4}r0`=?6==r79ol4>829>6gb=99201?m?:02;?84d;3;;463=c7824==z{;ih6=4=9z?102<1?2795k4;d:?1e5<3l279m<4;d:?1e7<3l279m>4;d:?1e1<3l279m84;d:?1e3<3l279m:4;d:?1f7<3l279n>4;d:?1f1<3l279n84;d:?1f3<3l279n:4;d:?1f=<3l279n44;d:?1fd<3l279no4;d:?13c<60:16>oj52d0897dc2;o;70<md;0gb>;5jm09hh522cf9551<5;i;6?k=;<0`4?4b8279o=4=dg9>6f6=:mo01?m?:024?84d;38n>63=c281a5=::j91>ih4=3a0>7bb348h?7??7:?1g3<5m;16>n852d2897e12;nm70<l6;0ga>;5k?0:<:5rs22b>5<3?rT8<l521bf90f=:9jo18n5226f90f=::>o18n522e190f=::m>18n522bd90f=::m:18n522e790f=::m<18n522e590f=:9o>18n521g790f=:9o<18n521g590f=:9o218n521g;90f=:9ok18n521g`90f=:9oi18n521gf90f=::9=18n5221:90f=::9318n5221c90f=::9h18n5221a90f=::9n18n5221g90f=::9l18n5225590f=::=<18n5222c90f=:::i18n5222f90f=:::o18n5222d90f=::=:18n5225390f=::=818n5225190f=:::h18n5228d90f=::h:18n522`390f=::h818n522`190f=::h>18n522`790f=::h<18n522`590f=::k818n522c190f=::k>18n522c790f=::k<18n522c590f=::k218n522c;90f=::kk18n522c`90f=::=>18n522cf913=::kn19o522b2913=::j:19o522b1913=::j919o522b4913=::j<19o5rs0df>5<>s48;;7?7c:?11`<69h16=lk510c8977d28;i70<md;67?84d83>?70<l3;67?84d>3>?70<81;32e>{t9ol1<77t=32;>4>d348=<7?>c:?2f5<69j16><j510`897dc2=?01?m?:57897e42=?01?m9:578971428;i7p}=0183><}::931=5m4=33f>47e348ih7:9;<0`4?21348h?7:9;<0`2?21348=<7?>d:?136<69j16=o>510f8yv4793:15v3=0`82<f=::?81=<m4=356>47d34;i>7?>c:?15c<69k16>oj5469>6f6=<>16>n=5469>6f0=<>1v?>=:18:847j3;3o63=74825d=::;:1=<l4=3`g>1><5;i;6964=3a0>1><5;i=6964=341>47c34;i>7?>d:p655=833p1?>l:0:`?841<3;:o63=76825f=:9k>1=<m4=302>47e348ih7=m;<0`4?5e348h?7=m;<0`2?5e3ty9<94?:8y>65b=91i01?<=:03a?84el39h70<l0;1`?84d;39h70<l6;1`?841<3;:h63=76825a=:9k>1=<j4}r031?6=1r79<h4>8b9>630=98i01?96:03`?87e>3;:o63=22825g=::kn1?i522b297a=::j91?i522b497a=z{;:=6=46{<03b?7?k279=l4>1c9>6gb=;o16>n>53g9>6f5=;o16>n853g9>630=98n01?96:03g?87e>3;:h6s|2bf94?0|5;im6<6l;<0`e?76k279ni4>939>6f6=90801?m<:0;1?84d>3;2>6s|30294?4|V:;;70<md;4`?xu49k0;6?uQ30`897dc2>=0q~==6;296~X4:?16>oj5839~w6562909wS=<1:?1fa<?>2wx?>m50;0xZ65d348ih768;|q002<72;qU?994=3`g>=><uz9?h7>52z\00a=::kn1445rs26f>5<5sW9?i63=be8;e>{t;=l1<7<t^26e?84el3kn7p}<5183>7}Y;<:01?lk:9`8yv5693:1>vP<109>6gb=0j1v>?=:181[56:279ni49d:p745=838pR>?<;<0a`?0b3ty8=94?:3y]742<5;ho6;h4}r121?6=:rT8=8522cf935=z{:;=6=4={_122>;5jm0<=6s|30594?4|V:;<70<md;51?xu4910;6?uQ30:897dc2hl0q~=>9;296~X49016>n>56b9~w67f2909wS=>a:?1g5<0?2wx?<m50;0xZ67d348h<76=;|q05a<72;qU?<j4=3a3>=0<uz9:i7>52z\05`=::j:14:5rs23e>5<5sW9:j63=c18;<>{t;;:1<7<t^203?84d83227p}<2083>7}Y;;;01?m?:9c8yv55:3:1>vP<239>6f6=il1v><<:181[55;279o=47b:p772=838pR><;;<0`4?>d3ty8>84?:3y]773<5;i;6;j4}r113?6=:rT8>:522b292`=z{:836=4={_11<>;5k90=j6s|33;94?4|V:8270<l0;53?xu4:h0;6?uQ33c897e72>;0q~==b;296~X4:k16>n>5739~w64d2909wS==c:?1g5<fn2wx??j50;0xZ64c348h?78l;|q06`<72;qU??k4=3a0>21<uz99j7>52z\06c=::j914?5rs213>5<5sW98<63=c28;2>{t;:81<7<t^211?84d;32<7p}<3283>7}Y;:901?m<:9:8yv54<3:1>vP<359>6f5=001v>=::181[54=279o>47a:p760=838pR>=9;<0`7?gb3ty8?:4?:3y]761<5;i865l4}r10<?6=:rT8?5522b19<f=z{:926=4={_10=>;5k:0=h6s|32c94?4|V:9j70<l3;4f?xu4;k0;6?uQ32`897e42?l0q~=<d;296~X4;m16>n=5719~w65b2909wS=<e:?1g6<092wx?>h50;0xZ65a348h?79=;|q005<72;qU?9>4=3a0>d`<uz9?=7>52z\004=::j<1:n5rs261>5<5sW9?>63=c7843>{t;=91<7<t^260?84d>3297p}<4583>7}Y;=>01?m9:948yv53=3:1>vP<449>6f0=0>1v>:9:181[53>279o;47b:p71>=838pR>:7;<0`2?>d3ty8844?:3y]71?<5;i=6;j4}r17e?6=:rT88l522b492`=z{:>h6=4={_17g>;5k?0=j6s|2`:94?76s48i>7?7c:?2g4<69m16>8k510f894gb28;o70<75;32g>;59k0:=o5229:954`<5;2=6<?k;<0;3?76m2794?4>1`9>6=5=98i01?jn:03:?84el39?70<l0;17?84d;39?70<l6;17?84093;:h6s|2`;94?>|5;h86<6l;<3`5?76j2799h4>1c9>5dc=98h01?lk:27897e72:?01?m<:27897e12:?0q~<na;29<~;5j=0:4n522cf973=::j:1?;522b1973=::j<1?;52272954g<58h;6<?n;<3`7?76i2wx>ll50;:x97d2282h70<90;32f>;6j90:=o522cf972=::j:1?:522b1972=::j<1?:521b1954e<uz8jo7>58z?1f3<60j16>oj5399>6f6=;116>n=5399>6f0=;116>;<510c894d528;j70?l5;32e>{t:hn1<76t=3`4>4>d348=>7?>b:?2f7<69k16=n;510`897dc2:301?m?:2;897e42:301?m9:2;8yv4fm3:14v3=b982<f=:9j=1=<j4=3`g>7e<5;i;6?m4=3a0>7e<5;i=6?m4=347>47f34;i87?>a:p6d`=832p1?l6:0:`?841<3;:n63>b5825g=:9j=1=<l4=3`g>7b<5;i;6?j4=3a0>7b<5;i=6?j4}r0a4?6=0r79nl4>8b9>6gb=:l16>n>52d9>6f5=:l16>n852d9>630=98k01<l9:03b?87d13;:o6s|2c394?>|5;hi6<6l;<052?76j27:n;4>1c9>6gb=;916>n>5319>6f5=;916>n85319>5f?=98n0q~?j1;29`~;6n=0:4n521b3954e<58n>6<?n;<3g2?76j2799h4>1b9>5dc=98i01??m:03b?87cj3;:h63>d8825g=:9mk1=<m4=0f7>47>34;o47?>c:?134<69j1v<k=:18:87a=3;3o63=06853>;6k80:=l521e7954d<58n=6<?l;<3g<?76j27:ho4>1d9>5a?=98i01<jn:03g?xu6m:0;65u21g495=e<5;:36;94=0f5>47f34;on7?>f:?2`<<69m16=io510g894e428;i70?k8;32e>{t9l>1<7lt=0d4>4>d348;5788;<3g7?76k27:hn4>1b9>5ab=98i01<jm:03`?87c13;:m63>d`825g=:9l:1=<m4=0a0>47c34;oi7?>d:p5`3=83kp1<h7:0:`?847i3<<70?k3;32`>;6lj0:=i521ef954c<58ni6<?m;<3ge?76i27:i=4>1e9>5f3=98n01<jj:03`?xu6m?0;64u21g;95=e<5;:i6;94=0f0>47f34;oo7?>b:?2`a<69m16=il510c894c728;n70?l5;32g>;6ll0:=o5rs0g4>5<?s4;mm7?7c:?14f<1?27:o:4>1b9>5a5=98h01<jl:03b?87cl3;:n63>e1825g=:9mo1=<o4}r3f<?6=>r7:jo4>8b9>65b=>>16=n9510c894b628;h70?kd;32e>;6m90:=k5rs0g:>5<1s4;mo7?7c:?14`<1?27:i=4>1`9>5a`=98h01<m6:03a?87c93;:n6s|1dc94?2|58lo6<6l;<03b?0034;h57?>a:?2`4<69h1v?ll:18084693<i70<m2;44?84ci3;3?6s|29f94?d|5;;96;l4=3;e>4>d348i?788;<0;1?76i279=n4>1`9>6=>=98n01?69:03a?84??3;:o63=83825g=::191=<l4=352>47e3ty94h4?:`y>645=>k16>l>519a897d32?=01?6::03a?846l3;:m63=89825`=::1<1=<m4=3:4>47c3483?7?>a:?136<69h1v?6i:18`846<3<i70<n1;3;g>;5j<0=;63=88825f=::1k1=<m4=3:a>47e3483<7?>b:?15`<69h16>56510a897>128;j70<77;32f>;5?:0:=i5rs3;3>5<es48:978m;<0b6?7?k279n;497:?130<69m16>57510f897>f28;n70<7b;32`>;5090:=i5220d954g<5;236<?m;<0;3?76i2wx>4?50;cx97712?h01?o<:0:`?84e?3<<70<85;32f>;5000:=o5229c954b<5;2i6<?l;<0;4?76k279>=4>1`9>6=>=98k0q~<62;29=~;59>0=n63=a582<f=::k21::5229;954g<5;2j6<?m;<0;g?76j2794<4>1c9>677=98k01?98:03a?xu51:0;65u220:92g=::h?1=5m4=3`:>31<5;2j6<?n;<0;g?76i2794<4>1`9>674=98k01?98:03b?xu51=0;6:u220;92g=::h<1=5m4=3`b>31<5;2i6<?n;<0;5?76k279>>4>1`9>62?=98h0q~<65;291~;5i>0:4n522c`922=::1:1=<o4=33b>47f348<57?>a:p62b=83?p1?9k:0:`?840n3;:n63=49825d=::<91=<m4=0c0>47d3ty:on4?:2y>5fb=>>16=nk5669>5d5=9190q~?lb;296~;6jo0:4>521`1954`<uz;hm7>52z?2ef<60:16=l=510g8yv40k3:1?v3=7e853>;5?l0=;63=5282<6=z{;=i6=4={<05b?7?;2799>4>1g9~w71f2909w0<:c;3;7>;5=:0:=h5rs3f;>5<2s48hj7?7a:?1`5<60h16>i75191897b4282j70<k4;3;e>{t:m?1<7=t=3f6>4>d348o:788;<0g=?76i2wx>i950;0x97b0282h70<k9;32f>{t:m<1<7=t=3f6>1b<5;n=6<6l;<0g3?003ty99:4?:3y>60d=91901?;l:5d8yv42>3:1>v3=5`82<6=::<h18k5rs376>5<5s48>57?73:?11d<3n2wx>8:50;0x973?282870<:9;6e?xu5><0;6?u224a95<0<5;<=6<6<;|q126<72;q6>8l51848970328287p}=6083>7}::<k1=484=341>4>43ty99k4?:3y>60?=90<01?8?:0:0?xu5=m0;6?u224:95<0<5;?n6<6<;|q12d<72;q6>;k51918970a2=l0q~<99;296~;5>m0:4>5227g90c=z{;<36=4={<05g?7?;279:i4;f:p631=838p1?8m:0:0?841k3>m7p}=7983>7}::?l1=484=35:>4>43ty9;;4?:3y>63c=90<01?98:0:0?xu5?=0;6?u227f95<0<5;=>6<6<;|q137<72;q6>;m51848971428287p}=7183>7}::?h1=484=352>4>43ty:m:4?:3y>5dd=91901<ol:5d8yv7f>3:1>v3>a`82<6=:9hh18k5rs0c6>5<5s4;j57?73:?2ed<3n2wx=l:50;0x94g?282870?n9;6e?xu6j<0;6?u21`a95<0<58h=6<6<;|q2f6<72;q6=ll5184894d328287p}>b083>7}:9hk1=484=0`1>4>43ty:mk4?:3y>5d?=90<01<l?:0:0?xu6im0;6?u21`:95<0<58kn6<6<;|q2fd<72;q6=ok5191894da2=l0q~?m9;296~;6jm0:4>521cg90c=z{8h36=4={<3ag?7?;27:ni4;f:p5g1=838p1<lm:0:0?87ek3>m7p}>c983>7}:9kl1=484=0a:>4>43ty:o;4?:3y>5gc=90<01<m8:0:0?xu6k=0;6?u21cf95<0<58i>6<6<;|q2g7<72;q6=om5184894e428287p}>c183>7}:9kh1=484=0a2>4>43ty:h:4?:7y>5a5=98o01<jl:03f?87c03;3?63>de825c=:9ml1=<o4=0ff>47b3ty:hi4?:3y>5ac=91901<j>:03f?xu6l;0;6?u21e195=5<58n:6<?k;|q2ag<72;q6=k;5669>5a3=9190q~?jc;296~;6n?0=;63>d782<6=z{8oo6=4={<3e3?0034;o57?73:p5`c=838p1<h7:75894bf28287p}>eg83>7}:9o31::521e`95=5<uz;m<7>52z?2bd<1?27:hn4>829~w4`62909w0?ib;44?87cl3;3?6s|1g094?4|58lh6;94=0fe>4>43ty:j>4?:3y>5cb=>>16=i?51918yv46i3:1>v3=25822a=::8k1=5=4}r02=?6=:r79=44>829>672=<o1v?<<:18084613;2:63=2e822a=::;91=5=4}r02<?6=;r79=54>829>64?=<o16>?j54g9~w7452908w0<>8;3:2>;5:j0::i5223095=5<uz8:;7>53z?152<60:16><654g9>67e=<o1v?<>:180846?3;2:63=2c822a=::;;1=5=4}r022?6=;r79=;4>829>641=<o16>?l54g9~w7472908w0<>6;3:2>;5:h0::i5223295=5<uz8:97>53z?150<60:16><854g9>67g=<o1v??i:180846=3;2:63=28822a=::8l1=5=4}r020?6=;r79=94>829>643=<o16>?754g9~w77b2908w0<>4;3:2>;5:10::i5220g95=5<uz8:?7>53z?156<60:16><:54g9>67>=<o1v??k:180846;3;2:63=26822a=::8n1=5=4}r026?6=;r79=?4>829>645=<o16>?954g9~w77d2908w0<>2;3:2>;5:?0::i5220a95=5<uz8:=7>53z?154<60:16><<54g9>670=<o1v??m:18084693;2:63=24822a=::8h1=5=4}r024?6=;r79==4>829>647=<o16>?;54g9~w72?2909w0<>0;4a?84303;3?6s|25c94?4|5;8>6<6<;<00e?003ty98o4?:3y>670=91901?=l:758yv43k3:1>v3=2682<6=:::n1::5rs36g>5<5s48947?73:?17`<1?2wx>9k50;0x974>282870<<f;44?xu5<o0;6?u223c95=5<5;>;6;94}r064?6=:r79>o4>829>617=>>1v?;>:181845k3;3?63=43853>{t:<81<7<t=30g>4>4348??788;|q10<<72;q6>?:51918975e2?=0q~<<1;296~;5;h0:4n5223d954c<uz88>7>52z?17f<60j16>9;510g8yv44;3:1>v3=3e82<f=::;o1=<o4}r000?6=:r79?h4>8b9>67c=98i0q~<<5;296~;5;o0:4n5223g954c<uz88:7>52z?105<60j16>9;510f8yv44?3:1>v3=4082<f=::=?1=<m4}r00<?6=:r798?4>8b9>67c=98h0q~<<9;296~;5<:0:4n5223g954b<uz88<7>52z?17g<60j16>?h510f8yv43>3:1>v3=4782<f=::;l1=<m4}r073?6=:r798:4>8b9>67`=98h0q~<74;291~;5000:=h5229c954`<5;2>6<6<;<0;f?76m2794=4>1d9~w7?12909w0<6f;44?84?:3;3?6s|28594?4|5;k;6;94=3:0>4>43ty9554?:3y>6d7=>>16>5851918yv4>13:1>v3=a3853>;50>0:4>5rs3;b>5<5s48j?788;<0;<?7?;2wx>4l50;0x97g32?=01?66:0:0?xu51j0;6?u22`7922=::1k1=5=4}r0:`?6=:r79m;497:?1<g<60:1v?7j:18184f?3<<70<70;3;7>{t9m:1<78t=0d7>31<58n?6<6<;<0a`?24348h<7:<;<0`7?24348h:7:<;|q23g<72;q6>?k51918972228;i7p}=4483>7}::=>1::5225795=5<uz;<h7>52z?1<f<60:16>5l510d8yv70m3:1>v3=8082<6=::1:1=<h4}r34b?6=:r79>k4>829>613=98l0q~?70;296~;6m90:4>521ed954e<utd<>84?:0yK6ad<ug=9:7>51zJ1`g=zf>8<6=4>{I0gf>{i?;21<7?tH3fa?xh0:00;6<uG2e`8yk15i3:1=vF=dc9~j24e290:wE<kb:m37e=83;pD?jm;|l46a<728qC>il4}o51a?6=9rB9ho5rn60e>5<6sA8on6sa72294?7|@;ni7p`83083>4}O:mh0qc9<2;295~N5lk1vb:=<:182M4cj2we;>:50;3xL7be3td<?84?:0yK6ad<ug=8:7>51zJ1`g=zf>9<6=4>{I0gf>{i?:21<7?tH3fa?xh0;00;6<uG2e`8yk14i3:1=vF=dc9~j25e290:wE<kb:m36e=83;pD?jm;|l47a<728qC>il4}o50a?6=9rB9ho5rn61e>5<6sA8on6sa75294?7|@;ni7p`84083>4}O:mh0qc9;2;295~N5lk1vb::<:182M4cj2we;9:50;3xL7be3td<884?:0yK6ad<ug=?:7>51zJ1`g=zf>><6=4>{I0gf>{i?=21<7?tH3fa?xh0<00;6<uG2e`8yk13i3:1=vF=dc9~j22e290:wE<kb:m31e=83;pD?jm;|l40a<728qC>il4}o57a?6=9rB9ho5rn66e>5<6sA8on6sa74294?7|@;ni7p`85083>4}O:mh0qc9:2;295~N5lk1vb:;<:182M4cj2we;8:50;3xL7be3td<984?:0yK6ad<ug=>:7>51zJ1`g=zf>?<6=4>{I0gf>{i?<21<7?tH3fa?xh0=00;6<uG2e`8yk12i3:1=vF=dc9~j23e290:wE<kb:m30e=83;pD?jm;|l41a<728qC>il4}o56a?6=9rB9ho5rn67e>5<6sA8on6sa77294?7|@;ni7p`86083>4}O:mh0qc992;295~N5lk1vb:8<:182M4cj2we;;:50;3xL7be3td<:84?:0yK6ad<ug==:7>51zJ1`g=zf><<6=4>{I0gf>{i??21<7?tH3fa?xh0>00;6<uG2e`8yk11i3:1=vF=dc9~j20e290:wE<kb:m33e=83;pD?jm;|l42a<728qC>il4}o55a?6=9rB9ho5rn64e>5<6sA8on6sa76294?7|@;ni7p`87083>4}O:mh0qc982;295~N5lk1vb:9<:182M4cj2we;::50;3xL7be3td<;84?:0yK6ad<ug=<:7>51zJ1`g=zf>=<6=4>{I0gf>{i?>21<7?tH3fa?xh0?00;6<uG2e`8yk10i3:1=vF=dc9~j21e290:wE<kb:m32e=83;pD?jm;|l43a<728qC>il4}o54a?6=9rB9ho5rn65e>5<6sA8on6sa79294?7|@;ni7p`88083>4}O:mh0qc972;295~N5lk1vb:6<:182M4cj2we;5:50;3xL7be3td<484?:0yK6ad<ug=3:7>51zJ1`g=zf>2<6=4>{I0gf>{i?121<7?tH3fa?xh0000;6<uG2e`8yk1?i3:1=vF=dc9~j2>e290:wE<kb:m3=e=83;pD?jm;|l4<a<728qC>il4}o5;a?6=9rB9ho5rn6:e>5<6sA8on6sa78294?7|@;ni7p`89083>4}O:mh0qc962;295~N5lk1vb:7<:182M4cj2we;4:50;3xL7be3td<584?:0yK6ad<ug=2:7>51zJ1`g=zf>3<6=4>{I0gf>{i?021<7?tH3fa?xh0100;6<uG2e`8yk1>i3:1=vF=dc9~j2?e290:wE<kb:m3<e=83;pD?jm;|l4=a<728qC>il4}o5:a?6=9rB9ho5rn6;e>5<6sA8on6sa7`294?7|@;ni7p`8a083>4}O:mh0qc9n2;295~N5lk1vb:o<:182M4cj2we;l:50;3xL7be3td<m84?:0yK6ad<ug=j:7>51zJ1`g=zf>k<6=4>{I0gf>{i?h21<7?tH3fa?xh0i00;6<uG2e`8yk1fi3:1=vF=dc9~j2ge290:wE<kb:m3de=83;pD?jm;|l4ea<728qC>il4}o5ba?6=9rB9ho5rn6ce>5<6sA8on6sa7c294?7|@;ni7p`8b083>4}O:mh0qc9m2;295~N5lk1vb:l<:182M4cj2we;o:50;3xL7be3td<n84?:0yK6ad<ug=i:7>51zJ1`g=zf>h<6=4>{I0gf>{i?k21<7?tH3fa?xh0j00;6<uG2e`8yk1ei3:1=vF=dc9~j2de290:wE<kb:m3ge=83;pD?jm;|l4fa<728qC>il4}o5aa?6=9rB9ho5rn6`e>5<6sA8on6sa7b294?7|@;ni7p`8c083>4}O:mh0qc9l2;295~N5lk1vb:m<:182M4cj2we;n:50;3xL7be3td<o84?:0yK6ad<ug=h:7>51zJ1`g=zf>i<6=4>{I0gf>{i?j21<7?tH3fa?xh0k00;6<uG2e`8yk1di3:1=vF=dc9~j2ee290:wE<kb:m3fe=83;pD?jm;|l4ga<728qC>il4}o5`a?6=9rB9ho5rn6ae>5<6sA8on6sa7e294?7|@;ni7p`8d083>4}O:mh0qc9k2;295~N5lk1vb:j<:182M4cj2we;i:50;3xL7be3td<h84?:0yK6ad<ug=o:7>51zJ1`g=zf>n<6=4>{I0gf>{i?m21<7?tH3fa?xh0l00;6<uG2e`8yk1ci3:1=vF=dc9~j2be290:wE<kb:m3ae=83;pD?jm;|l4`a<728qC>il4}o5ga?6=9rB9ho5rn6fe>5<6sA8on6sa7d294?7|@;ni7p`8e083>4}O:mh0qc9j2;295~N5lk1vb:k<:182M4cj2we;h:50;3xL7be3td<i84?:0yK6ad<ug=n:7>51zJ1`g=zf>o<6=4>{I0gf>{i?l21<7?tH3fa?xh0m00;6<uG2e`8yk1bi3:1=vF=dc9~j2ce290:wE<kb:m3`e=83;pD?jm;|l4aa<728qC>il4}o5fa?6=9rB9ho5rn6ge>5<6sA8on6sa7g294?7|@;ni7p`8f083>4}O:mh0qc9i2;295~N5lk1vb:h<:182M4cj2we;k:50;3xL7be3td<j84?:0yK6ad<ug=m:7>51zJ1`g=zf>l<6=4>{I0gf>{i?o21<7?tH3fa?xh0n00;6<uG2e`8yk1ai3:1=vF=dc9~j2`e290:wE<kb:m3ce=83;pD?jm;|l4ba<728qC>il4}o5ea?6=9rB9ho5rn6de>5<6sA8on6sa81294?7|@;ni7p`70083>4}O:mh0qc6?2;295~N5lk1vb5><:182M4cj2we4=:50;3xL7be3td3<84?:0yK6ad<ug2;:7>51zJ1`g=zf1:<6=4>{I0gf>{i0921<7?tH3fa?xh?800;6<uG2e`8yk>7i3:1=vF=dc9~j=6e290:wE<kb:m<5e=83;pD?jm;|l;4a<728qC>il4}o:3a?6=9rB9ho5rn92e>5<6sA8on6sa80294?7|@;ni7p`71083>4}O:mh0qc6>2;295~N5lk1vb5?<:182M4cj2we4<:50;3xL7be3td3=84?:0yK6ad<ug2::7>51zJ1`g=zf1;<6=4>{I0gf>{i0821<7?tH3fa?xh?900;6<uG2e`8yk>6i3:1=vF=dc9~j=7e290:wE<kb:m<4e=83;pD?jm;|l;5a<728qC>il4}o:2a?6=9rB9ho5rn93e>5<6sA8on6sa83294?7|@;ni7p`72083>4}O:mh0qc6=2;295~N5lk1vb5<<:182M4cj2we4?:50;3xL7be3td3>84?:0yK6ad<ug29:7>51zJ1`g=zf18<6=4>{I0gf>{i0;21<7?tH3fa?xh?:00;6<uG2e`8yk>5i3:1=vF=dc9~j=4e290:wE<kb:m<7e=83;pD?jm;|l;6a<728qC>il4}o:1a?6=9rB9ho5rn90e>5<6sA8on6sa82294?7|@;ni7p`73083>4}O:mh0qc6<2;295~N5lk1vb5=<:182M4cj2we4>:50;3xL7be3td3?84?:0yK6ad<ug28:7>51zJ1`g=zf19<6=4>{I0gf>{i0:21<7?tH3fa?xh?;00;6<uG2e`8yk>4i3:1=vF=dc9~j=5e290:wE<kb:m<6e=83;pD?jm;|l;7a<728qC>il4}o:0a?6=9rB9ho5rn91e>5<6sA8on6sa85294?7|@;ni7p`74083>4}O:mh0qc6;2;295~N5lk1vb5:<:182M4cj2we49:50;3xL7be3td3884?:0yK6ad<ug2?:7>51zJ1`g=zf1><6=4>{I0gf>{i0=21<7?tH3fa?xh?<00;6<uG2e`8yk>3i3:1=vF=dc9~j=2e290:wE<kb:m<1e=83;pD?jm;|l;0a<728qC>il4}o:7a?6=9rB9ho5rn96e>5<6sA8on6sa84294?7|@;ni7p`75083>4}O:mh0qc6:2;295~N5lk1vb5;<:182M4cj2wvqpNOCz;;7?ec0?=h>nsO@Cy3yEFWstJK
$52:44<,[o}e~g`n;"2*73>(-;0<45?01234567<91;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:?7=M?409250=6=3CE\XZ5AEFQEP:6<3:5=85>5;KMTPR=IMNYNX2>4;2=50=6>3CE\XZ5AEFQEI:6=3:5=85>6;KMTPR=IMNYNA2>5;2=5>46:2996D@_UU8GMD:493:5=?5<2;KMTPR=L@H7?<4?>01877<H]]Z^X7J@A=12>586;2996B[[PTV9@JD;;80;2<?44;KMTPR=L@ZJ0>4?>0380?OIX\^1HD^M<283:47<<3CE\XZ5WDCO86<768;087GAPTV9S@GR4:0;2<<44;MVPUSS2ME[M1=50?31?1<H]]Z^X7J@PC>0>58a3<|xt8>{fg3273+69;1=87GAPTV9`lh;>:0;2<:4658LQQVR\3ndyy293;2=0>>3:8;:754FNQWW>RCED5=1<3?>;98JJUSS2^OIY1950?38=1=>89327LJKR@N?4;g<IMNYMA2>0?c8EABUIE6:=3o4AEFQEI:6:7k0MIJ]AM>27;g<IMNYMA2>4?a8EABUIE6:97>1a:CG@WGK48?556OKDSCO848>3HNO^LB32?;8EABUIE68245NDEPBH92912KOH_OC<4<:?DBCZHF7:374AEFQEI:0601JHI\NL=:==>GCL[KG0406;@FGVDS;87k0MIJ]AT>24;g<IMNYMX2>1?c8EABUI\6:>3o4AEFQEP:6;7i0MIJ]AT>20?69i2KOH_OZ<06==>GCL[K^0<06;@FGVDS;:730MIJ]AT>0:<=FLMXJY1:19:CG@WGR4<427LJKR@W?2;?<IMNYMX28>89B@ATF]52556OKDSCV8<8>3HNO^OB30?c8EABUJE6:<3o4AEFQFI:697k0MIJ]BM>26;g<IMNYNA2>3?c8EABUJE6:83m4AEFQFI:6=3:5m6OKDS@O843912KOH_LC<0<:?DBCZKF7>374AEFQFI:4601JHI\ML=6==>GCL[HG0806;@FGVGJ;>730MIJ]BM>4:<=FLMXI@1619:CG@WDK40427LJKRCW?4;g<IMNYNX2>0?c8EABUJ\6:=3o4AEFQFP:6:7k0MIJ]BT>27;e<IMNYNX2>4;2=e>GCL[H^0<:19:CG@WDR48427LJKRCW?6;?<IMNYNX2<>89B@ATE]5>556OKDS@V808>3HNO^O[36?;8EABUJ\6<245NDEPAQ9>912KOH_LZ<8<0?DJK12KXUCMPRDE;?GSTW@DMC<5Ld:ABWFGCM@DGMYOk;BCPGDBBAGFJXOj4C@Q@EACNF[OLMi5LARAB@@OIZLMIi6MNSBCGAJSSDH^Ji6MNSBCGAJSSDH^Ii6MNSBCGAJSSZLMJi6MNSBCGAJSSZLMI>6MJ139@L@ELWECHIC]J_U[SA7=DA<1H@FOC5:AOODS23JF@NA;4CMIAQ6=DD[30OBCBIUVF@42<KFXNSJKAESCWMJHXAGLD;6M]E@VF@4=C:2NB:6JFA=2=3>BNI5;;2:5KI@>25;1<L@K7=?08;EKB8459?2NBM1?;>69GMD:6=7=0HDO317<4?AOF48=5;6JFA=3;:2=CAH6:5384DHC?5;1<L@K7>=08;EKB8779?2NBM1<=>69GMD:5;7=0HDO325<4?AOF4;?5;6JFA=05:2=CAH69;394DHC?6=803MCJ0?716:FJE949?2NBM1=?>89GMD:493:5;6JFA=12:3=CAH682;5KI@>7:3=CAH6>2;5KI@>5:3=CAH6<2;5KI@>;:3=CAH622;5KIC>3:2=CAK6:<394DH@?54803MCI0<<17:FJF9746>1OEO2>4?58@LD;9<4<7IGM<04=3>BNJ5;<2:5KIC>2<;1<L@H7=409;EKA84803MCI0?>17:FJF9466>1OEO2=2?58@LD;::4<7IGM<36=3>BNJ58>2:5KIC>12;1<L@H7>:08;EKA87>9?2NBN1<6>79GMG:56>1OEO2<0?;8@LD;;80;2:5KIC>05;0<L@H7?384DH@?0;0<L@H79384DH@?2;0<L@H7;384DH@?<;0<L@H75394DHRB85803MC[M1?17:FJTD:5601OE]O33;2=3>BNXH682:5KIQ@?4;1<L@ZI0<08;EKSF94912NB\O2<:1<4?AOWJ595:6J@A=2=3>BHI5;;2:5KO@>25;1<LFK7=?08;EMB8459?2NDM1?;>69GKD:6=7=0HBO317<4?AIF48=5;6J@A=3;:2=CGH6:5384DNC?5;1<LFK7>=08;EMB8779?2NDM1<=>69GKD:5;7=0HBO325<4?AIF4;?5;6J@A=05:2=CGH69;394DNC?6=803MEJ0?716:FLE949?2NDM1=?>89GKD:493:5;6J@A=12:3=CGH682;5KO@>7:3=CGH6>2;5KO@>5:3=CGH6<2;5KO@>;:3=CGH622:5KO@]QAB0<LFH7<394DN@?55803MEI0<?17:FLF9756>1OCO2>3?58@JD;9=4<7IAM<07=3>BHJ5;=2:5KOC>23;1<LFH7=508;EMA84?9>2NDN1?17:FLF9476>1OCO2=1?58@JD;:;4<7IAM<31=3>BHJ58?2:5KOC>11;1<LFH7>;08;EMA8719?2NDN1<7>69GKG:517<0HBL32?58@JD;;9427IAM<2394;1<LFH7?<09;EMA86813MEI0909;EMA80813MEI0;09;EMA82813MEI0509;EMA8<803MEIS_KH7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;1<LFZJ0>08;EMSF969?2ND\O2>>69GKUD;:730HB^M<283:2=CGYH7?3:4EOCO0>CII\>0ICLC4:GMFP773O9J>K=?0GDB6C@788:0JL==F113BD@5N9L;96HNLRG1?CB43ONH86HKCD18BAV33ON[I<>4FG23BC67NO:;J::?4:DEB@><NOLMJKHJ5:Dbhvc63N90KCJ>;H08M54<A880E?<4I208M14<A<20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40><AGC_\R>98:KMMQVX8>20ECG[P^2;<>OIA]ZT<464IOKWTZ6F02CEEY^P0C:8MKOSXV:H46GAIUR\4A><AGC_\R>J8:KMMQVX8O30ECG[SUCWA2=NF@^T<=94IOKW[5703@DBXR>=7:KMMQY7;>1BBDZP0558MKOSW9?<7D@FT^253>OIA]U;;:5FNHV\4=1<AGC_S=78;HLJPZ6F?2CEEYQ?B69JJLRX8J=0ECG[_1F4?LHN\V:N;6GAIU]3B2=NF@^T==94IOKW[4703@DBXR?=7:KMMQY6;>1BBDZP1558MKOSW8?<7D@FT^353>OIA]U:;:5FNHV\5=1<AGC_S<78;HLJPZ7F?2CEEYQ>B69JJLRX9J=0ECG[_0F4?LHN\V;N;6GAIU]2B2=NF@^T>=94IOKW[7703@DBXR<=7:KMMQY5;>1BBDZP2558MKOSW;?<7D@FT^053>OIA]U9;:5FNHV\6=1<AGC_S?78;HLJPZ4F?2CEEYQ=B69JJLRX:J=0ECG[_3F4?LHN\V8N;6GAIU]1B2=NF@^T?=94IOKW[6703@DBXR==7:KMMQY4;>1BBDZP3558MKOSW:?<7D@FT^153>OIA]U8;:5FNHV\7=1<AGC_S>78;HLJPZ5F?2CEEYQ<B69JJLRX;J=0ECG[_2F4?LHN\V9N;6GAIU]0B2=NF@^T8=94IOKW[1703@DBXR:=7:KMMQY3;>1BBDZP4558MKOSW=?<7D@FT^653>OIA]U?;:5FNHV\0=1<AGC_S978;HLJPZ2F?2CEEYQ;B69JJLRX<J=0ECG[_5F4?LHN\V>N;6GAIU]7B2=NF@^T9=94IOKW[0703@DBXR;=7:KMMQY2;>1BBDZP5558MKOSW<?<7D@FT^753>OIA]U>;:5FNHV\1=1<AGC_S878;HLJPZ3F?2CEEYQ:B69JJLRX=J=0ECG[_4F4?LHN\V?N;6GAIU]6B2=NF@^T:=94IOKW[3703@DBXR8=7:KMMQY1;>1BBDZP6558MKOSW??<7D@FT^453>OIA]U=;:5FNHV\2=1<AGC_S;78;HLJPZ0F?2CEEYQ9B69JJLRX>J=0ECG[_7F4?LHN\V<N;6GAIU]5B2=NF@^T;=94IOKW[2703@DBXR9=7:KMMQY0;>1BBDZP7558MKOSW>?<7D@FT^553>OIA]U<;:5FNHV\3=1<AGC_S:78;HLJPZ1F?2CEEYQ8B69JJLRX?J=0ECG[_6F4?LHN\V=N;6GAIU]4B3=NF@^TM;5FNHV\F<=NF@^TJDBJ3:KMR6=NGK80@D84LNCGAA1<DFMBOLB;;MWW51=K]]8?7A[[359OQQ233E__995CUU46?HU@GG?0AXVLYb9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A039M57=I:;1E4:5AEUULVN2<FFDN56@@_BJBJBC63F;0\:5_.4:e[I2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA6=WZL;0]l5]AL@02ZC_Xo1YM@QJXUGMWLIIWHl0^LCPEYVFJVOHFVHh7_KND^UJ@QNXIj1YILJPWHFWLZD03[OLOHOC7:PFCFCF]>1YIJMJBM58V@ADMK_37_KHCMIBH==UMNIGGL[7;SGDGIMED11YIJMCKCW2?V2<[HLN46]NVDFBPD743ZCFSNACLHQJKKYD@LI@46]FM^OL@@0<[F_YOH94SSTBHZG03ZX]MAQM5:QPVDJ23ZYYMX;4SRPAH0=T[[H^86ZVPD7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+fguzpdnx1>15c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-`ewt~fl~7=3;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/bcqv|hb|5859o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/w3?4;323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';7=3;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/w3?6;323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';7?3;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/w3?0;3c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';T<Road123443c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';T=Road123443c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';T>Road123443c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';T?Road123443c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';T8Road123443e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'jky~t`jt=2=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)di{xrbhz31?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+fguzpdnx1<15c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-`ewt~fl~7?38>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS9W%k`?!m042?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW<S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'ng~t#ib[3_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_6[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS=W%k`}!mr76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;87?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?31?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;:7?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?33?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;<7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?P0^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?P1^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?P2^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?P3^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?P4^cm`56788?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&ida}>:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!lolr21g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,vdkXmdzuRhm_h41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.pbiZcjx}sTjoQf_np34561;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)uidUna}zv_g`\mZiu89:;=864U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#ob_dosp|Yn<o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nm^pg[`hXa8?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kdg|dSnaznu]`}969=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_b{?5;3b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr2j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPcx>1:0c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRmv<3<\vq3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQly=1=1`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSnw33?]qp0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRmv<5<6a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!BcnwmpZeh}g~Tot2;>^pw1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSnw35?7f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Clotlw[firf}Uhu1;1_sv6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!BcnwmpZeh}g~Tot29>4g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@m`uov\gjsi|Vir0;0Pru7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Clotlw[firf}Uhu1915d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Anaznu]`kphsWjs7;3Q}t4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@m`uov\gjsi|Vir050:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%Fob{at^alqkrXkp632R|{5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Anaznu]`kphsWe0=092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%Fob{at^alqkrXd|~7<3QFNW]31f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSua}<1<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!BcnwmpZeh}g~Ttb|31?7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Clotlw[firf}Usc2=>4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@m`uov\gjsi|Vrd~1=15b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Anaznu]`kphsWqey090:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%Fob{at^alqkrXpfx793;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6=28m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DidyczPcnwmpZ~hz5=59n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(Eje~byQlotlw[}iu414>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JkfexRm`uov\|jt;17?m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kdg|dSnaznu]{kw:>6Vx9?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(Zly~`y2?>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#_k|umv?5;353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Pfwpjs4;4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Umzgx1=1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$^h}zlu>7:04<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Sgpqir;=7?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Tb{|f0;0:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%Yi~{ct=5=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| Rdqvhq:?6<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Wct}e~753;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idycz30?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov?5;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkr;:7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*eh}g~7?3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idycz34?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov?1;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkr;>7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*eh}g~7;3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idycz38?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov?=;373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrX8<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}U:9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexR<:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_273?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov\006<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqY2=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,gjsi|V<><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dS:;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczP8428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu]:11=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| cnwmpZb6494>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi?31?77?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov\`4:56<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}Uo=1=1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$ob{at^f28182<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphsWm;793;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd0>5:02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqYc95=5995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj><9<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[a7;17?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*eh}g~Th<Q?529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$ob{at^f2[4343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrXl8U99>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj>_270?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov\`4Y3=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,gjsi|Vn:S8;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd0]516=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| cnwmpZb6W>?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*eh}g~Th<Q7529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$ob{at^f2[<163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;87=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7=39>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw32?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?7;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;<7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7939>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw36?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?3;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;07=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7539<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<02=37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:66>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~7>39=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<2<46>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp929?;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6>2:<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz36?51?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww8280:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|525;?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy26>618Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?5580:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5;5;?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc2=>608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?7;153\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu4=4<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1;1739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>5:24<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;?7=97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey05082:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=;=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(l`lmS}`{r^rb`9776?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwim6:2;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{mi2=>738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]sea:36?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwim6>2;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{mi29>738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae>4:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]sea:?6?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwim622;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQ>609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,`l`aWyd~R~nd^3325=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(l`lmS}`{r^rb`Z4182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcW:<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhnT8;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQ:619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,`l`aWyd~R~nd^454>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)caolT|cz}_qcg[2073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdbX0?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwimU2:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h dhde[uhszVzjhRokd12349776?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwimUjhi>?01>2:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]seaYflm:;<=2=>7;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae]b`a6789682;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQnde2345:36?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwimUjhi>?01>6:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]seaYflm:;<=29>7;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae]b`a67896<2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQnde2345:?6?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwimUjhi>?01>::0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'yxdkRhzlm]j2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(x{elSk{cl^k\ghvXmx:;<=Q\W140?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^pppuis>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$|ah_gwohZoXzz~{cyQ\W07`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*tfeVxoSh`Pi4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+wgjW~xTicQf6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,vaYwf}xT{Qfnw]sea:76Vgjbi?:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.abvwim}6;28l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> c`pq}kcs484>n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"mnrs{maq:56<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$ol|}yogw868192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&mfyu hmZ2^*bk6&d;==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"ibuy,di^7Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(`eR8V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*aj}q$laV=R.fop*hu192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&mfyu hmZ6^*bkt&dy>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><1<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p6484>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><3<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p64:4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><5<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p6W9Ujbi>?0136`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p6W8Ujbi>?0136`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p6W;Ujbi>?0136`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p6W:Ujbi>?0136`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p6W=Ujbi>?0136f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*efz{seiy2?>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,gdtuqgo0<0:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.abvwim}6928l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n? c`pq}kcs4:4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="ibuy,di^6Z&ng:"`?91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.enq}(`eR;V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*aj}q$laV<R.fop*hu192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu hmZ1^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="ibuy,di^2Z&ngx"`}:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28582=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0<0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28782=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0>0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28182l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:S=Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:S<Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:S?Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:S>Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:S9Qnne234572:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)dgdz;9?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$obc14c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/scn[cskdVidao;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"|nm^dvhiYdgdh:9l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$~lcPftno[cdXa<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'{kfSk{cl^k7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'{kfSz|Peo]j50d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/LalqkrXkfexRmv<1<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Tot2>>4g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0Pru7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Uhu1<15d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWjs7>3Q}t4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vir0>0:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXkp682R|{5c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWjs783;j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&Ghcx`{_bmvjqYdq5>5Sz:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXkp6>28k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DidyczPcnwmpZe~4<4T~y;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&Ghcx`{_bmvjqYdq5<59h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Eje~byQlotlw[f;>7Uyx8l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DidyczPcnwmpZe~4>4>i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)JkfexRm`uov\g|:06Vx9o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Eje~byQlotlw[f;07?n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Kdg|dSnaznu]`}9>9W{~>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)JkfexRm`uov\hpr;87<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Kdg|dSnaznu]oqq:76VCEZR>:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXpfx7<3;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&Ghcx`{_bmvjqYg{6:28m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DidyczPcnwmpZ~hz5859n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Eje~byQlotlw[}iu4:4>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)JkfexRm`uov\|jt;<7?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Kdg|dSnaznu]{kw:26<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+Heh}g~Tob{at^zlv909=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,Ifirf}Uhcx`{_ymq8282k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Ngjsi|VidyczPxnp?<;3d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.O`kphsWje~byQwos>::0`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/LalqkrXkfexRv`r=;=[wr2:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Qavsk|5:59?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Zly~`y2>>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#_k|umv?6;353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Pfwpjs4:4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)Umzgx1:1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$^h}zlu>6:04<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Sgpqir;>7?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Tb{|f0:0:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Yi~{ct=:=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| Rdqvhq:>6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}6;28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~by2>>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu>1:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjq:46<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}6?28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~by2:>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu>5:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjq:06<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}6328?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~by26>428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu]315=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZ7282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsW;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~T?8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~byQ;519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^764>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!lotlw[3373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrX?<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}U39=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexR7:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%hcx`{_e3?4;333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl86:28:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~byQk1=0=11=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb64:4>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)dg|dSi?34?77?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov\`4:26<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}Uo=181559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f28282<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm;743;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczPd0>::05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc9V:>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)dg|dSi?P1418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu]g5Z42;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm;T?8=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~byQk1^667>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!lotlw[a7X=<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}Uo=R8:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%hcx`{_e3\305<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc9V2>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)dg|dSi?P9c48Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%}magk.bqwv*tfeeed|V>R.scn*w)q=Vddecg{.scn+VBW&ZCEKAKPPSKN73(SLYh=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]6U'xja#| v4]mklhn|'xja"]KP/QJJBJBWYXBA>8!TER7`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*rjxVxjaR|k_dl7a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*rjxVxjaR|k_dl276=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/scn[wbXmg987X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)uidU|~Rka3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Ujkh419V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Ujkh_e374>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`75d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_bmnf6b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa56c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPrde?4;5b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_sgd8784l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^pfcZ64l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^pfcZ44l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^cpv`a382_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^cpv`aXl8>;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"y}_sqw[duumnUo>>m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXkfgi?i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae ws]qwqYdgdh:?h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae ws]qwqYumn6;2>k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXzlm7=3=k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.uq[wusW{olS==k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.uq[wusW{olS<m4URGQ[SOTAKFN?6XLC89UM@QX\PZN;6YJAM>3:2=PMHF7=394WDCO878>3^OJ@1=50?58S@GK4:4<7ZKNU=2=3>QBI\6:2:5XE@W?6;?<_LK^0>4?>69TADS;;7=0[HLC<1<4?RCED5;5;6YJBM>1:2=PMKF7?394WD@O81803^OI@1;17:UFFI:1601\IOB37;2=3>QBJE6<2:5XECW?4;1<_LH^0<08;VGAQ949?2]NNX2<>69TAGS;<7=0[HLZ<4<4?RCE]5<556YJBT>4>5803^OIY191c:UQMQCXEFNNSLm4WSKWAZKHLLUIh6Y]IUG\SLBS@VKo7Z\FTD]TMAROWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy?6mck048gqtkWocmc<>=4y47[vrX~8;;o<5ltsn\bl`h998?t;:Psu]u5)`nnfUlick}aumq[s?X;%qhSljk_oe`[fii{}xjecz20-a\euvkajkeb`Ptxrf[d~n{4:'oRopmk`eqohfV~r|hQ}abj>4)eXiyzgeno{inl\p|vbW{nhd0>#c^cstiodi}cdbRzvpd]tefn:8%iTm}~cibcwmjhX|pznSzjlh<2/gZgwxechmyg`n^vzt`Ypzjb6<!mPayk\eabuW~coxe3<2-a\e}oXi{xiQxievk94*dWhrbSlyzsdp\slbs`4;'oRowi^`vw`tX`nd0?#c^c{mZbf|hU|eizg=76/gZgaVcoSzgkti?7(fYfp`Uyy~k}_vkgpm;6$jUjtdQ{yqg>4)eXiqcT{x}jr^uj`qn:9%iTmug|_ufbpdYpam~c1;:#c^c{mvYsllySzgkti?7(fYfp`yTxdjPwhfwl8>+kVkse~Q{kdgs[roc|a7? nQnxhq\pvrujV}bhyf24-a\e}otW}xiQxievk91*dWhrbRzvpd?3(fYdgdgdbRmcobi>5)eXkfexRzvpd?3(fYci}kTob{at^uj`qn:99&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?50)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4<? nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:~`xiu;#c^dvhiYadhmxS}{_wco95*dWakxSlbborv\ahvsq4:'oRfns^coijusWog`0>#c^jbwZgaVkyy~k}=1.`[mgtWhrbSlyzsdp>4)eX`hyTmugPbtqfv86+kVbjRowi^pg[fnffgog1="l_icp[d~nW{xi3?,b]kevYfp`U|~Rmgaolfh86+kVbjRowi^uvw`t:8%iTdl}Paykp[qbf|h7; nQgar]b|luX|moxx0>#c^jbwZgazUei3?,b]kevYfp`yTxfkjp<2/gZnf{Vkse~Q{l`qw95*dWakxSlvfs^vqadb~58&hSeo|_`zjwZrt|{h6<!mPh`q\e}otW}xi3?,b]kevYeija~|0>#c^jbwZbf|hUhcx`{=1.`[mgtWmkmRm`uovp[d~n{4:'oRfns^fbpdYdg|dR|nci?3(fYoizUomyoPcnwmpvYuljb6<!mPh`q\`drfWje~by}Pw`ak95*dWakxSio{a^alqkrtW~nhd0>#c^jbwZbf|hUhcx`{s^uqgm;7$jUcm~Qfnu]`hn;7$jUcm~QbarvfvZeb59&hSeo|_lgnmkosWocgi0>#c^jbwZiqm{lgcz3?,b]kevYwzfmTjaohs^c{mv;7$jUcm~Qrne\big`{Vxjoe3?,b]kevYwzfmTjaohs^pggm;7$jUcm~Qrne\big`{V}joe3?,b]kevYwzfmTjaohs^uggm;7$jUcm~Qrne\big`{V}yoe3?,b]kevYulVnjxlQlotlw95*dWakxSjPrrv>4)eX`hyT~~z21-a\lduX{ek}iRmj=1.`[mgtWzxxx0>#c^jbwZsillxm`by20-a\lduX~hfbh0>#c^jbwZquWhi`1="l_icp[rtXlh~jSnaznu?3(fYoizU|~R||t<2/gZojxeoficznthmm[qwm4:'oRgbpmgnakrf|`eeSywe^c{mv;6$jUba}bjmdlweqohfV~r|hQ}abj>5)eXadzgi`kat`vjkkYsqyoT~img=0.`[lkwdlgnbyo{inl\p|vbW~khd0?#c^knticjmg~jxdaa_u{saZqcka7: nQfmqnfi`hsi}cdbRzvpd]tvfn:9%iTecg{_vp\tkruWkg1="l_hlwaw`fklUu}k20-a\i`khzpUu}k21-a\il`Xn`fnScobe<@oekmT|{cek!mPmreljZpfd4:'oRathoj~drnggUfcik20-a\kscunee|Saax=1.`[utbdfkoSao{eoaz94*dWyxn`bok_sgdw86+kVzye`Qiigm\p|vb58as?;"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`0<#c^rqkbYbey~rSyf}erj\evubz}U}maQnxhq>5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzhic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_sf`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}joe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]t`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{mg=0216)eXx{elShctx]wlwct`Vdnklzj_wco96*dWyxdkRkbpu{\p|vb59&hS}|`g^gntqX|pznSlvfs<2/gZvugnUna}zv_u{saZtfka7; nQrne\ahvsqV~r|hQ}dbj>4)eXx{elShctx]w}ucXhic1="l_qplcZcjx}sTxt~j_vf`l86+kVzycjQjmqvz[qwmV}yoe3?,b]svjaXn|fgSyf}erj\evubz}U}ma3:13.`[uthoVl~`aQ{hsgplZgt{lxS{oc_`zjw877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW{khd0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_sf`l877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW~khd0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_vf`l877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW~xhd0??22.`[uthoVl~`aQ{hsgplZhboh~nS{oc=432(fYwzfmTjxbc_u{sa87+kVzycjQiumn\p|vbWhrb0>#c^rqkbYa}efTxt~j_sc`l86+kVzycjQiumn\p|vbW{nhd0>#c^rqkbYa}efTxt~j_vc`l86+kVzycjQiumn\p|vbW~nhd0>#c^rqkbYa}efTxt~j_vp`l86+kVxjoeQ{yqg>4)eXzmUomyoPcnwmpZqnl}b6=="l_sf\``vs`4;;>9"l_sf\bwcv58&hSjPpovq[roc|a7:<!mPreak[qwm4:'oR|jg^qomfcXefnnSlvfs<2/gZtboVygenkPmnff[wgd`4:'oR|jg^qomfcXefnnSjlh<2/gZtboVygenkPmnff[rgd`4:'oR|jg^qomfcXefnnSzjlh<2/gZtboVygenkPmnff[rtd`4:'oR}vnbjqkko}m{Uxxlij=3.`[phcm{lgczQcov?3(fYr{lUhc`c`n^muaw`kg~7; nQzsd]`khkhfVehh|ilnu>4)eX}zoThhhnumv\w`rsagmx1="l_tqf[air|Vxxx0?#c^wpaZcdk4:'oR{|e^g`gZgaz7; nQzsd]fgfYuijb6<!mPurg\afeXzmic1="l_tqf[`edW~khd0>#c^wpaZcdkV}ooe3?,b]vw`YbkjU|~nf20-a\qvcXmdhnhikk_sgd95*dW|ynSkgio04\big`{4:'oR{|e^dtbqYci}kTob{at<2/gZpfd`nT`by20-a\sdeoW}s{i0>#c^uggmYsqyo6<!mPws]bgnYkg~7; nQxr^fbpdYdg|dSzgkti?24)eX{Uoi}zg=0210)eX{Uoi}zg_`zjw877:=&hSz|PddrwlZtfka7::!mPws]gauroW{nhd0??25.`[rtXllzdRynci?22)eX{Uoi}zg_vf`l877:=&hSz|PddrwlZquka7::!mPws]ev`w:9%iT{Qnup\slbs`4;; nQxr^rmpwYpam~cSlvfs<33(fYpzVzexQxievk[wgd`4>'oRy}_qlwvZqnl}bT~img=02/gZquWyd~Ryfduj\sdeo5=&hSz|Ppovq[roc|aU|hnf211.`[rtXxg~ySzgkti]tvfn:<%iT{Q}errljvcXdh~nbnw21-a\sweoW}s{i0>r2e9`pwjXn`ld==<;x76\wqYq9%lbjbQheogqeqiuW3T?!ur6:fjj969?2nbb1??>69gmk:697=0hd`313<4?aoi4895;6jfn=37:2=cag6:9394dhl?53803mce0<917:fjj97?6>1oec2>9?48`lh;97=0hd`321<4?aoi4;;5;6jfn=01:2=cag69?394dhl?61803mce0?;17:fjj9416>1oec2=7?58`lh;:14<7iga<3;=2>bnf585;6jfn=13:2=cag68=394dhl?77803mce0>=17:fjj9536>1oec2<5?58`lh;;?4<7iga<25=3>bnf5932:5kio>0=;0<l`d7?394dhl?05803mce09?17:fjj9256>1oec2;3?58`lh;<=4<7iga<57=3>bnf5>=2:5kio>73;1<l`d78508;ekm81?9>2nbb1:17:fjj9376>1oec2:1?58`lh;=;4<7iga<41=3>bnf5??2:5kio>61;1<l`d79;08;ekm8019?2nbb1;7>69gmk:217<0hd`35?58`lh;>94<7iga<73=3>bnf5<9245kio>57?69?2nbb18<>79gmk:16?1oec28>79gmk:?6?1oec26>69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;><lf0<818:flqq:6?720hb{{<0:=<>bh}}6:5394dnww848?3me~x1<?>99gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;><lf0>;18:flqq:4>720hb{{<25=<>bh}}684364dnww86?9?2ndyy2<>99gkpr;<9437iazt=62:==cg|~78?07;emvp924611ocxz345<;?air|5>>255kotv?038?3me~x1:8>99gkpr;<1437iazt=6::2=cg|~78364dnww806902ndyy2:1?:8`jss4<8546j`uu>67;><lf08:18:flqq:2=720hb{{<44=<>bh}}6>;364dnww80>902ndyy2:9?58`jss4<437iazt=43:==cg|~7:<07;emvp9056h1ocxz36283:==cg|~7:>08;emvp909?2ndyy28>69gkpr;07=0hb{{<8<6?`kw|p?0jlb|e3d8bl`hWnoeio{os]u=Z5+(Qcgecv/CNPF$Bcim{kc.>4/77?cskd11eknlzimf:?uthoVl~`a;4re]fj6=u{}?0{Qjn|BCt<5c3IJs?:l5F;695~U0=39<57?k7;3077g0<3838?:tn254>4=i;>21:6*<74802c=z[>91?:751e595655i>>1>5:=f:Q2g7<38=0;6<=<2`57>7>3;;1X;>4;0583>454:h=?6?6;329g72d=83;1=v]85;14=?7c?3;8??o84;0;07e<~];nj7>51;39502|[>?1?:751e595655i>>1>5:=c:&00`<6k:1]?:852zw2gf<63|;hh7>4}%3g<?723k9<n7>5868g6?72<rB88n5+1g4972d<R?;1>v?8:049y!7d83;9=6*<758747=#>j08;i5+75803f=n;>k1<75f41494?=n<9=1<75`41294?=n<921<75`41194?=h<9?1<75`3g`94?"6lh08jl5a1e;94>=h;o31<7*>d`80bd=i9m31=65`3g:94?"6lh08jl5a1e;96>=h;o=1<7*>d`80bd=i9m31?65`3g794?"6lh08jl5a1e;90>=h;o>1<7*>d`80bd=i9m31965`3g194?"6lh08jl5a1e;92>=h;o81<7*>d`80bd=i9m31;65`3g394?"6lh08jl5a1e;9<>=h;o:1<7*>d`80bd=i9m31565`3dd94?"6lh08jl5a1e;9e>=h;lo1<7*>d`80bd=i9m31n65`3df94?"6lh08jl5a1e;9g>=h;li1<7*>d`80bd=i9m31h65`3dc94?"6lh08jl5a1e;9a>=h;l31<7*>d`80bd=i9m31j65`3d:94?"6lh08jl5a1e;955=<g:o<6=4+1ec97cg<f8n26<?4;n1f2?6=,8nj6>hn;o3g=?7532e8i84?:%3ge?5ai2d:h44>3:9l7`2=83.:hl4<f`9m5a?=9=10c>k<:18'5ag=;ok0b<j6:078?j5b:3:1(<jn:2db?k7c13;=76a<e083>!7ci39mm6`>d8823>=h;ml1<7*>d`80bd=i9m31=554o2ff>5<#9mk1?ko4n0f:>4?<3f9oh7>5$0fb>6`f3g;o57?n;:m0`f<72-;om7=ia:l2`<<6j21d?il50;&2`d<4nh1e=i751b98k6bf290/=io53gc8j4b>28n07b=k9;29 4bf2:lj7c?k9;3f?>i4l10;6)?ka;1ee>h6l00:j65`3e594?"6lh08jl5a1e;965=<g:n=6=4+1ec97cg<f8n26??4;n1g0?6=,8nj6>hn;o3g=?4532e8h>4?:%3ge?5ai2d:h44=3:9l7a4=83.:hl4<f`9m5a?=:=10c>j>:18'5ag=;ok0b<j6:378?j5c83:1(<jn:2db?k7c138=76a<cg83>!7ci39mm6`>d8813>=h;jo1<7*>d`80bd=i9m31>554o2ag>5<#9mk1?ko4n0f:>7?<3f9ho7>5$0fb>6`f3g;o57<n;:m0gg<72-;om7=ia:l2`<<5j21d?n750;&2`d<4nh1e=i752b98k6e?290/=io53gc8j4b>2;n07b=l7;29 4bf2:lj7c?k9;0f?>i4k?0;6)?ka;1ee>h6l009j65`3b794?"6lh08jl5a1e;975=<g:i?6=4+1ec97cg<f8n26>?4;n1`7?6=,8nj6>hn;o3g=?5532e8o?4?:%3ge?5ai2d:h44<3:9l7f7=83.:hl4<f`9m5a?=;=10c>m?:18'5ag=;ok0b<j6:278?j5an3:1(<jn:2db?k7c139=76a<fd83>!7ci39mm6`>d8803>=h;on1<7*>d`80bd=i9m31?554o2d`>5<#9mk1?ko4n0f:>6?<3f9m:7>5$0fb>6`f3g;o57=n;:m0ag<72-;om7=ia:l2`<<4j21d?h>50;&2`d<4nh1e=i753b98k6b2290/=io53gc8j4b>2:n07b=la;29 4bf2:lj7c?k9;1f?>i4jo0;6)?ka;1ee>h6l008j65f3cc94?"6lh08n45a1e;94>=n;k21<7*>d`80f<=i9m31=65f3c594?"6lh08n45a1e;96>=n;k<1<7*>d`80f<=i9m31?65f3c694?"6lh08n45a1e;90>=n;k91<7*>d`80f<=i9m31965f3c094?"6lh08n45a1e;92>=n;k;1<7*>d`80f<=i9m31;65f3c294?"6lh08n45a1e;9<>=n;hl1<7*>d`80f<=i9m31565f3`g94?"6lh08n45a1e;9e>=n;hn1<7*>d`80f<=i9m31n65f3`a94?"6lh08n45a1e;9g>=n;hh1<7*>d`80f<=i9m31h65f3`;94?"6lh08n45a1e;9a>=n;h21<7*>d`80f<=i9m31j65f3`594?"6lh08n45a1e;955=<a:k=6=4+1ec97g?<f8n26<?4;h1b1?6=,8nj6>l6;o3g=?7532c8m94?:%3ge?5e12d:h44>3:9j7d5=83.:hl4<b89m5a?=9=10e>o=:18'5ag=;k30b<j6:078?l5f93:1(<jn:2`:?k7c13;=76g<a183>!7ci39i56`>d8823>=n;0o1<7*>d`80f<=i9m31=554i2;g>5<#9mk1?o74n0f:>4?<3`92o7>5$0fb>6d>3g;o57?n;:k0=g<72-;om7=m9:l2`<<6j21b?4o50;&2`d<4j01e=i751b98m6?>290/=io53c;8j4b>28n07d=68;29 4bf2:h27c?k9;3f?>o41>0;6)?ka;1a=>h6l00:j65f38494?"6lh08n45a1e;965=<a:3>6=4+1ec97g?<f8n26??4;h1:7?6=,8nj6>l6;o3g=?4532c85?4?:%3ge?5e12d:h44=3:9j7<7=83.:hl4<b89m5a?=:=10e>7?:18'5ag=;k30b<j6:378?l5?n3:1(<jn:2`:?k7c138=76g<8d83>!7ci39i56`>d8813>=n;1n1<7*>d`80f<=i9m31>554i2:`>5<#9mk1?o74n0f:>7?<3`93n7>5$0fb>6d>3g;o57<n;:k0<d<72-;om7=m9:l2`<<5j21b?5650;&2`d<4j01e=i752b98m6>0290/=io53c;8j4b>2;n07d=76;29 4bf2:h27c?k9;0f?>o40<0;6)?ka;1a=>h6l009j65f39694?"6lh08n45a1e;975=<a:286=4+1ec97g?<f8n26>?4;h1;6?6=,8nj6>l6;o3g=?5532c84<4?:%3ge?5e12d:h44<3:9j7=6=83.:hl4<b89m5a?=;=10e>9i:18'5ag=;k30b<j6:278?l5em3:1(<jn:2`:?k7c139=76g<be83>!7ci39i56`>d8803>=n;ki1<7*>d`80f<=i9m31?554i2`a>5<#9mk1?o74n0f:>6?<3`9i97>5$0fb>6d>3g;o57=n;:k0ed<72-;om7=m9:l2`<<4j21b?4h50;&2`d<4j01e=i753b98m6?3290/=io53c;8j4b>2:n07d=79;29 4bf2:h27c?k9;1f?>o4?l0;6)?ka;1a=>h6l008j65m36094?7=83:p(<h9:000?M5092B88n5`13094?=zj:=86=4>:183!7a>39?h6F<709K71e<g8n=6=44}c016?6=<3:1<v*>f7825f=O;>;0D>:l;%30f?3<a?81<75f7783>>o6lk0;66a>db83>>{e:8l1<7:50;2x 4`128;h7E=81:J00f=#9:h196g92;29?l112900e<jm:188k4bd2900qo<j1;290?6=8r.:j;4>1`9K727<@:>h7)?<b;38m34=831b;?4?::k42?6=3f;oo7>5;|`1a7<72=0;6=u+1g4954g<@:=:7E=;c:&27g<63`<96=44i6094?=n??0;66a>db83>>{e;:i1<7=50;2x 4`128;27E=81:J00f=O>91/=no54138 45e281b:?4?::k42?6=3f;oo7>5;|`000<72:0;6=u+1g4954?<@:=:7E=;c:J54>"6kh0?<<5+12`95>o1:3:17d99:188k4bd2900qo=<b;297?6=8r.:j;4>189K727<@:>h7E8?;%3`e?2792.:?o4>;h41>5<<a><1<75`1ea94?=zj:>86=4::183!7a>3;:h6F<709K71e<@?:0(<mn:522?l052900e;l50;9j33<722c:ho4?::m2`f<722wi?9:50;194?6|,8l=6<?6;I145>N4<j1C:=5+1bc9057<,89i6<5f6383>>o0>3:17b?kc;29?xd4;h0;684?:1y'5c0=98n0D>9>;I17g>N182.:ol4;009j27<722c=n7>5;h55>5<<a8ni6=44o0f`>5<<uk9?>7>54;294~"6n?0:=n5G3638L62d3-;8n7;4i7094?=n??0;66g>dc83>>i6lj0;66sm35394?2=83:p(<h9:03`?M5092B88n5+12`91>o1:3:17d99:188m4be2900c<jl:188yg53j3:187>50z&2b3<69j1C?:?4H26`?!74j3?0e;<50;9j33<722c:ho4?::m2`f<722wi?9o50;694?6|,8l=6<?l;I145>N4<j1/=>l55:k56?6=3`==6=44i0fa>5<<g8nh6=44}c074?6=;3:1<v*>f78035=O;>;0D>:l;h4g>5<<a8i:6=44o0f6>5<<uk88j7>53;294~"6n?08;=5G3638L62d3`<o6=44i0a2>5<<g8n>6=44}c007?6=<3:1<v*>f782gg=O;>;0D>:l;h4g>5<<a>=1<75f1dc94?=h9m?1<75rb31f>5<4290;w)?i6;144>N4?81C?9m4i7f94?=n9j;1<75`1e794?=zj;9:6=4;:183!7a>3;hn6F<709K71e<a?n1<75f7683>>o6mh0;66a>d483>>{e::n1<7=50;2x 4`12:=;7E=81:J00f=n>m0;66g>c083>>i6l<0;66sm23d94?2=83:p(<h9:0aa?M5092B88n5f6e83>>o0?3:17d?ja;29?j7c=3:17pl=3b83>6<729q/=k853628L6163A9?o6g9d;29?l7d93:17b?k5;29?xd5:m0;694?:1y'5c0=9jh0D>9>;I17g>o1l3:17d98:188m4cf2900c<j::188yg44j3:1?7>50z&2b3<4?91C?:?4H26`?l0c2900e<m>:188k4b22900qo<=b;290?6=8r.:j;4>cc9K727<@:>h7d8k:188m21=831b=ho50;9l5a3=831vn?=n:180>5<7s-;m:7=80:J034=O;=i0e;j50;9j5f7=831d=i;50;9~f74>290?6=4?{%3e2?7dj2B8;<5G35a8m3b=831b;:4?::k2ad<722e:h84?::a66?=8391<7>t$0d5>6173A9<=6F<4b9j2a<722c:o<4?::m2`0<722wi>?950;694?6|,8l=6<mm;I145>N4<j1b:i4?::k43?6=3`;nm7>5;n3g1?6=3th9?54?:283>5}#9o<1?:>4H252?M53k2c=h7>5;h3`5?6=3f;o97>5;|`160<72=0;6=u+1g495fd<@:=:7E=;c:k5`?6=3`=<6=44i0gb>5<<g8n>6=44}c003?6=;3:1<v*>f78035=O;>;0D>:l;h4g>5<<a8i:6=44o0f6>5<<uk8987>54;294~"6n?0:oo5G3638L62d3`<o6=44i6594?=n9lk1<75`1e794?=zj;?m6=4::183!7a>3;:n6F<709K71e<,89i6<5f6383>>o1j3:17d9=:188m20=831d=im50;9~f73b290>6=4?{%3e2?76j2B8;<5G35a8 45e281b:?4?::k5f?6=3`=96=44i6494?=h9mi1<75rb37`>5<2290;w)?i6;32f>N4?81C?9m4$01a>4=n>;0;66g9b;29?l152900e:850;9l5ae=831vn?;m:186>5<7s-;m:7?>b:J034=O;=i0(<=m:09j27<722c=n7>5;h51>5<<a><1<75`1ea94?=zj;?o6=4::183!7a>3;:n6F<709K71e<,89i6<5f6383>>o1j3:17d9=:188m20=831d=im50;9~f73>290>6=4?{%3e2?76j2B8;<5G35a8 45e281b:?4?::k5f?6=3`=96=44i6494?=h9mi1<75rb37;>5<2290;w)?i6;32f>N4?81C?9m4$01a>4=n>;0;66g9b;29?l152900e:850;9l5ae=831vn?;n:186>5<7s-;m:7?>b:J034=O;=i0(<=m:09j27<722c=n7>5;h51>5<<a><1<75`1ea94?=zj;?=6=4::183!7a>3;:h6F<709K71e<,89i685f6383>>o1j3:17d99:188m4be2900c<jl:188yg42?3:197>50z&2b3<69k1C?:?4H26`?!74j3;0e;<50;9j2g<722c<>7>5;h55>5<<g8nh6=44}c047?6==3:1<v*>f7825g=O;>;0D>:l;%30f?7<a?81<75f6c83>>o0:3:17d99:188k4bd2900qo<82;291?6=8r.:j;4>1c9K727<@:>h7)?<b;38m34=831b:o4?::k46?6=3`==6=44o0f`>5<<uk8<=7>55;294~"6n?0:=o5G3638L62d3-;8n7?4i7094?=n>k0;66g82;29?l112900c<jl:188yg4083:197>50z&2b3<69k1C?:?4H26`?!74j3;0e;<50;9j2g<722c<>7>5;h55>5<<g8nh6=44}c05b?6==3:1<v*>f7825g=O;>;0D>:l;%30f?7<a?81<75f6c83>>o0:3:17d99:188k4bd2900qo<9e;291?6=8r.:j;4>1c9K727<@:>h7)?<b;38m34=831b:o4?::k46?6=3`==6=44o0f`>5<<uk8=h7>55;294~"6n?0:=o5G3638L62d3-;8n7?4i7094?=n>k0;66g82;29?l112900c<jl:188yg41k3:197>50z&2b3<69k1C?:?4H26`?!74j3;0e;<50;9j2g<722c<>7>5;h55>5<<g8nh6=44}c05f?6==3:1<v*>f7825g=O;>;0D>:l;%30f?7<a?81<75f6c83>>o0:3:17d99:188k4bd2900qo<9a;291?6=8r.:j;4>1c9K727<@:>h7)?<b;38m34=831b:o4?::k46?6=3`==6=44o0f`>5<<uk9;<7>53;294~"6n?08;=5G3638L62d3`<o6=44i0a2>5<<g8n>6=44}c0eb?6=;3:1<v*>f78035=O;>;0D>:l;h4g>5<<a8i:6=44o0f6>5<<uk8m?7>54;294~"6n?0:oo5G3638L62d3`<o6=44i6594?=n9lk1<75`1e794?=zj;ln6=4<:183!7a>39<<6F<709K71e<a?n1<75f1b394?=h9m?1<75rb3d2>5<3290;w)?i6;3`f>N4?81C?9m4i7f94?=n?>0;66g>e`83>>i6l<0;66sm2gf94?5=83:p(<h9:253?M5092B88n5f6e83>>o6k80;66a>d483>>{e:ll1<7:50;2x 4`128ii7E=81:J00f=n>m0;66g87;29?l7bi3:17b?k5;29?xd5nj0;6>4?:1y'5c0=;>:0D>9>;I17g>o1l3:17d?l1;29?j7c=3:17pl=ee83>1<729q/=k851b`8L6163A9?o6g9d;29?l102900e<kn:188k4b22900qo<ib;297?6=8r.:j;4<719K727<@:>h7d8k:188m4e62900c<j::188yg4bj3:187>50z&2b3<6kk1C?:?4H26`?l0c2900e:950;9j5`g=831d=i;50;9~f7`f29086=4?{%3e2?5082B8;<5G35a8m3b=831b=n?50;9l5a3=831vn?k6:187>5<7s-;m:7?lb:J034=O;=i0e;j50;9j32<722c:il4?::m2`0<722wi>k750;194?6|,8l=6>9?;I145>N4<j1b:i4?::k2g4<722e:h84?::a6`1=83>1<7>t$0d5>4ee3A9<=6F<4b9j2a<722c<;7>5;h3fe?6=3f;o97>5;|`1b=<72:0;6=u+1g49726<@:=:7E=;c:k5`?6=3`;h=7>5;n3g1?6=3th9i84?:583>5}#9o<1=nl4H252?M53k2c=h7>5;h54>5<<a8oj6=44o0f6>5<<uk8m;7>53;294~"6n?08;=5G3638L62d3`<o6=44i0a2>5<<g8n>6=44}c0f0?6=<3:1<v*>f782gg=O;>;0D>:l;h4g>5<<a>=1<75f1dc94?=h9m?1<75rb23e>5<2290;w)?i6;32f>N4?81C?9m4$01a>4=n>;0;66g9b;29?l152900e:850;9l5ae=831vn>?j:186>5<7s-;m:7?>b:J034=O;=i0(<=m:09j27<722c=n7>5;h51>5<<a><1<75`1ea94?=zj:;h6=4::183!7a>3;:n6F<709K71e<,89i6<5f6383>>o1j3:17d9=:188m20=831d=im50;9~f67e290>6=4?{%3e2?76j2B8;<5G35a8 45e281b:?4?::k5f?6=3`=96=44i6494?=h9mi1<75rb23g>5<2290;w)?i6;32f>N4?81C?9m4$01a>4=n>;0;66g9b;29?l152900e:850;9l5ae=831vn>?6:186>5<7s-;m:7?>b:J034=O;=i0(<=m:09j27<722c=n7>5;h51>5<<a><1<75`1ea94?=zj:;36=4::183!7a>3;:n6F<709K71e<,89i6<5f6383>>o1j3:17d9=:188m20=831d=im50;9~f67f290>6=4?{%3e2?76j2B8;<5G35a8 45e281b:?4?::k5f?6=3`=96=44i6494?=h9mi1<75rb235>5<2290;w)?i6;32`>N4?81C?9m4$01a>0=n>;0;66g9b;29?l112900e<jm:188k4bd2900qo=>7;291?6=8r.:j;4>1c9K727<@:>h7)?<b;38m34=831b:o4?::k46?6=3`==6=44o0f`>5<<uk98?7>55;294~"6n?0:=o5G3638L62d3-;8n7?4i7094?=n>k0;66g82;29?l112900c<jl:188yg54:3:197>50z&2b3<69k1C?:?4H26`?!74j3;0e;<50;9j2g<722c<>7>5;h55>5<<g8nh6=44}c105?6==3:1<v*>f7825g=O;>;0D>:l;%30f?7<a?81<75f6c83>>o0:3:17d99:188k4bd2900qo=<0;291?6=8r.:j;4>1c9K727<@:>h7)?<b;38m34=831b:o4?::k46?6=3`==6=44o0f`>5<<uk99j7>55;294~"6n?0:=o5G3638L62d3-;8n7?4i7094?=n>k0;66g82;29?l112900c<jl:188yg55m3:197>50z&2b3<69k1C?:?4H26`?!74j3;0e;<50;9j2g<722c<>7>5;h55>5<<g8nh6=44}c11`?6==3:1<v*>f7825g=O;>;0D>:l;%30f?7<a?81<75f6c83>>o0:3:17d99:188k4bd2900qo==c;291?6=8r.:j;4>1c9K727<@:>h7)?<b;38m34=831b:o4?::k46?6=3`==6=44o0f`>5<<uk99n7>55;294~"6n?0:=o5G3638L62d3-;8n7?4i7094?=n>k0;66g82;29?l112900c<jl:188yg55i3:197>50z&2b3<69k1C?:?4H26`?!74j3;0e;<50;9j2g<722c<>7>5;h55>5<<g8nh6=44}c0;<?6=;3:1<v*>f78035=O;>;0D>:l;h4g>5<<a8i:6=44o0f6>5<<uk82=7>53;294~"6n?08;=5G3638L62d3`<o6=44i0a2>5<<g8n>6=44}c04`?6=<3:1<v*>f782gg=O;>;0D>:l;h4g>5<<a>=1<75f1dc94?=h9m?1<75rb3;3>5<4290;w)?i6;144>N4?81C?9m4i7f94?=n9j;1<75`1e794?=zj;=h6=4;:183!7a>3;hn6F<709K71e<a?n1<75f7683>>o6mh0;66a>d483>>{e:1l1<7=50;2x 4`12:=;7E=81:J00f=n>m0;66g>c083>>i6l<0;66sm26`94?2=83:p(<h9:0aa?M5092B88n5f6e83>>o0?3:17d?ja;29?j7c=3:17pl=8d83>6<729q/=k853628L6163A9?o6g9d;29?l7d93:17b?k5;29?xd5?h0;694?:1y'5c0=9jh0D>9>;I17g>o1l3:17d98:188m4cf2900c<j::188yg4?l3:1?7>50z&2b3<4?91C?:?4H26`?l0c2900e<m>:188k4b22900qo<89;290?6=8r.:j;4>cc9K727<@:>h7d8k:188m21=831b=ho50;9l5a3=831vn?6l:180>5<7s-;m:7=80:J034=O;=i0e;j50;9j5f7=831d=i;50;9~f71?290?6=4?{%3e2?7dj2B8;<5G35a8m3b=831b;:4?::k2ad<722e:h84?::a6=d=8391<7>t$0d5>6173A9<=6F<4b9j2a<722c:o<4?::m2`0<722wi>:950;694?6|,8l=6<mm;I145>N4<j1b:i4?::k43?6=3`;nm7>5;n3g1?6=3th94l4?:283>5}#9o<1?:>4H252?M53k2c=h7>5;h3`5?6=3f;o97>5;|`133<72=0;6=u+1g495fd<@:=:7E=;c:k5`?6=3`=<6=44i0gb>5<<g8n>6=44}c0;=?6=;3:1<v*>f78035=O;>;0D>:l;h4g>5<<a8i:6=44o0f6>5<<uk8<97>54;294~"6n?0:oo5G3638L62d3`<o6=44i6594?=n9lk1<75`1e794?=zj;=?6=4;:183!7a>3;hn6F<709K71e<a?n1<75f7683>>o6mh0;66a>d483>>{e:0n1<7:50;2x 4`128;j7E=81:J00f=#9:h1=6g92;29?l152900e:850;9l5ae=831vn?o::187>5<7s-;m:7?>a:J034=O;=i0(<=m:09j27<722c<>7>5;h55>5<<g8nh6=44}c0b0?6=<3:1<v*>f7825d=O;>;0D>:l;%30f?7<a?81<75f7383>>o0>3:17b?kc;29?xd5i:0;694?:1y'5c0=98k0D>9>;I17g>"6;k0:7d8=:188m24=831b;;4?::m2`f<722wi>l<50;694?6|,8l=6<?n;I145>N4<j1/=>l51:k56?6=3`=96=44i6494?=h9mi1<75rb3c2>5<3290;w)?i6;32e>N4?81C?9m4$01a>4=n>;0;66g82;29?l112900c<jl:188yg4f83:187>50z&2b3<69h1C?:?4H26`?!74j3;0e;<50;9j37<722c<:7>5;n3gg?6=3th95k4?:583>5}#9o<1=<o4H252?M53k2.:?o4>;h41>5<<a>81<75f7783>>i6lj0;66sm28g94?2=83:p(<h9:03b?M5092B88n5+12`95>o1:3:17d9=:188m20=831d=im50;9~f7?d290?6=4?{%3e2?76i2B8;<5G35a8 45e281b:?4?::k46?6=3`==6=44o0f`>5<<uk8i87>54;294~"6n?0:=l5G3638L62d3-;8n7?4i7094?=n?;0;66g86;29?j7ck3:17pl=b483>1<729q/=k8510c8L6163A9?o6*>3c82?l052900e:<50;9j33<722e:hn4?::a6g`=83>1<7>t$0d5>4ee3A9<=6F<4b9j2a<722c<;7>5;h3fe?6=3f;o97>5;|`1f`<72=0;6=u+1g495fd<@:=:7E=;c:k5`?6=3`=<6=44i0gb>5<<g8n>6=44}c0a`?6=<3:1<v*>f782gg=O;>;0D>:l;h4g>5<<a>=1<75f1dc94?=h9m?1<75rb3``>5<3290;w)?i6;3`f>N4?81C?9m4i7f94?=n?>0;66g>e`83>>i6l<0;66sm2c`94?2=83:p(<h9:0aa?M5092B88n5f6e83>>o0?3:17d?ja;29?j7c=3:17pl=d383>1<729q/=k851b`8L6163A9?o6g9d;29?l102900e<kn:188k4b22900qo<k1;290?6=8r.:j;4>cc9K727<@:>h7d8k:188m21=831b=ho50;9l5a3=831vn?j?:187>5<7s-;m:7?lb:J034=O;=i0e;j50;9j32<722c:il4?::m2`0<722wi>nh50;694?6|,8l=6<mm;I145>N4<j1b:i4?::k43?6=3`;nm7>5;n3g1?6=3th9oh4?:583>5}#9o<1=nl4H252?M53k2c=h7>5;h54>5<<a8oj6=44o0f6>5<<uk;mj7>54;294~"6n?0:oo5G3638L62d3`<o6=44i6594?=n9lk1<75`1e794?=zj8ln6=4;:183!7a>3;hn6F<709K71e<a?n1<75f7683>>o6mh0;66a>d483>>{e9on1<7:50;2x 4`128ii7E=81:J00f=n>m0;66g87;29?l7bi3:17b?k5;29?xd6nj0;694?:1y'5c0=9jh0D>9>;I17g>o1l3:17d98:188m4cf2900c<j::188yg7aj3:187>50z&2b3<6kk1C?:?4H26`?l0c2900e:950;9j5`g=831d=i;50;9~f775290?6=4?{%3e2?7dj2B8;<5G35a8m3b=831b;:4?::k2ad<722e:h84?::a647=83>1<7>t$0d5>4ee3A9<=6F<4b9j2a<722c<;7>5;h3fe?6=3f;o97>5;|`155<72=0;6=u+1g495fd<@:=:7E=;c:k5`?6=3`=<6=44i0gb>5<<g8n>6=44}c03b?6=<3:1<v*>f782gg=O;>;0D>:l;h4g>5<<a>=1<75f1dc94?=h9m?1<75rb32f>5<3290;w)?i6;3`f>N4?81C?9m4i7f94?=n?>0;66g>e`83>>i6l<0;66sm35594?5=83:p(<h9:0a6?M5092B88n5+12`9e>o6:<0;66g>2783>>i6l<0;66sm32g94?5=83:p(<h9:0a6?M5092B88n5+12`9e>o6:<0;66g>2783>>i6l<0;66sm32594?2=83:p(<h9:0a5?M5092B88n5+12`92g=n9;?1<75f13494?=n9;=1<75`1e794?=zj;nh6=4::183!7a>3;h;6F<709K71e<,89i6<64i006>5<<a88=6=44i004>5<<a8836=44o0f6>5<<uk8h57>55;294~"6n?0:o:5G3638L62d3-;8n7?l;h311?6=3`;9:7>5;h313?6=3`;947>5;n3g1?6=3th9=n4?:483>5}#9o<1=n94H252?M53k2.:?o4>c:k260<722c:>;4?::k262<722c:>54?::m2`0<722wi>=750;794?6|,8l=6<m8;I145>N4<j1/=>l51b9j573=831b=?850;9j571=831b=?650;9l5a3=831vn?jm:186>5<7s-;m:7?l7:J034=O;=i0(<=m:0:8m4422900e<<9:188m4402900e<<7:188k4b22900qo<l8;291?6=8r.:j;4>c69K727<@:>h7)?<b;3`?l75=3:17d?=6;29?l75?3:17d?=8;29?j7c=3:17pl=1c83>0<729q/=k851b58L6163A9?o6*>3c82g>o6:<0;66g>2783>>o6:>0;66g>2983>>i6l<0;66sm21:94?3=83:p(<h9:0a4?M5092B88n5+12`95f=n9;?1<75f13494?=n9;=1<75f13:94?=h9m?1<75rb3fb>5<2290;w)?i6;3`3>N4?81C?9m4$01a>4><a88>6=44i005>5<<a88<6=44i00;>5<<g8n>6=44}c0`3?6==3:1<v*>f782g2=O;>;0D>:l;%30f?7d3`;997>5;h312?6=3`;9;7>5;h31<?6=3f;o97>5;|`15d<72<0;6=u+1g495f1<@:=:7E=;c:&27g<6k2c:>84?::k263<722c:>:4?::k26=<722e:h84?::a651=83?1<7>t$0d5>4e03A9<=6F<4b9'56d=9j1b=?;50;9j570=831b=?950;9j57>=831d=i;50;9~f7b>290>6=4?{%3e2?7d?2B8;<5G35a8 45e2820e<<::188m4412900e<<8:188m44?2900c<j::188yg4d>3:197>50z&2b3<6k>1C?:?4H26`?!74j3;h7d?=5;29?l75>3:17d?=7;29?l7503:17b?k5;29?xd5900;684?:1y'5c0=9j=0D>9>;I17g>"6;k0:o6g>2483>>o6:?0;66g>2683>>o6:10;66a>d483>>{e:9<1<7;50;2x 4`128i<7E=81:J00f=#9:h1=n5f13794?=n9;<1<75f13594?=n9;21<75`1e794?=zj;n36=4::183!7a>3;h;6F<709K71e<,89i6<64i006>5<<a88=6=44i004>5<<a8836=44o0f6>5<<uk8h97>55;294~"6n?0:o:5G3638L62d3-;8n7?l;h311?6=3`;9:7>5;h313?6=3`;947>5;n3g1?6=3th9=54?:483>5}#9o<1=n94H252?M53k2.:?o4>c:k260<722c:>;4?::k262<722c:>54?::m2`0<722wi>=;50;794?6|,8l=6<m8;I145>N4<j1/=>l51b9j573=831b=?850;9j571=831b=?650;9l5a3=831vn?k<:180>5<7s-;m:7?l5:J034=O;=i0(<=m:`9j573=831b=?850;9l5a3=831vn?<<:180>5<7s-;m:7?l5:J034=O;=i0(<=m:`9j573=831b=?850;9l5a3=831vn?l>:185>5<7s-;m:7?l8:J034=O;=i0(<=m:003?l75=3:17d?=6;29?l75?3:17d?=8;29?l7513:17b?k5;29?xd5;=0;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=3383>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5;90;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=2d83>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5:j0;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=2`83>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5:10;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=2783>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5n=0;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=f383>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5n90;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=ed83>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5mj0;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=e`83>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5m10;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl=e783>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5<80;6?4?:1y'5c0=9j>0D>9>;I17g>"6;k0j7d?=5;29?j7c=3:17pl<0083>7<729q/=k851b68L6163A9?o6*>3c8b?l75=3:17b?k5;29?xd5?o0;6>4?:1y'5c0=9j?0D>9>;I17g>"6;k0:n6g>2483>>o6:?0;66a>d483>>{e:1:1<7=50;2x 4`128i>7E=81:J00f=#9:h1=o5f13794?=n9;<1<75`1e794?=zj;2:6=4<:183!7a>3;h96F<709K71e<,89i6<l4i006>5<<a88=6=44o0f6>5<<uk83>7>53;294~"6n?0:o85G3638L62d3-;8n7?m;h311?6=3`;9:7>5;n3g1?6=3th94>4?:283>5}#9o<1=n;4H252?M53k2.:?o4>b:k260<722c:>;4?::m2`0<722wi>5:50;194?6|,8l=6<m:;I145>N4<j1/=>l51c9j573=831b=?850;9l5a3=831vn?6::180>5<7s-;m:7?l5:J034=O;=i0(<=m:0`8m4422900e<<9:188k4b22900qo<76;297?6=8r.:j;4>c49K727<@:>h7)?<b;3a?l75=3:17d?=6;29?j7c=3:17pl=b783>0<729q/=k851b58L6163A9?o6*>3c87?l75=3:17d?=6;29?l75?3:17d?=8;29?j7c=3:17pl=2083>2<729q/=k851b;8L6163A9?o6*>3c8253=n9;?1<75f13494?=n9;=1<75f13:94?=n9;31<75f13c94?=h9m?1<75rb3`3>5<3290;w)?i6;32e>N4?81C?9m4$01a>4=n>;0;66g82;29?l112900c<jl:188yg4??3:1?7>50z&2b3<6k<1C?:?4H26`?!74j3;i7d?=5;29?l75>3:17b?k5;29?xd5m90;6:4?:1y'5c0=9j30D>9>;I17g>"6;k0:=:5f13794?=n9;<1<75f13594?=n9;21<75f13;94?=n9;k1<75`1e794?=zj;=n6=4<:183!7a>3;h96F<709K71e<,89i6<l4i006>5<<a88=6=44o0f6>5<<uk8i?7>55;294~"6n?0:o:5G3638L62d3-;8n7?>f:k260<722c:>;4?::k262<722c:>54?::m2`0<722wi>o<50;594?6|,8l=6<m6;I145>N4<j1/=>l510g8m4422900e<<9:188m4402900e<<7:188m44>2900e<<n:188k4b22900qo<<6;296?6=8r.:j;4>bg9K727<@:>h7d?=4;29?j7c=3:17pl=f783>7<729q/=k851cd8L6163A9?o6g>2583>>i6l<0;66sm32694?77:3:1<v*>f782`a=O;>;0D>:l;[42>46|j3l1o7??:985><<02881=<4>a;3:>a<6;3o1=94rnd794>h68>0;7)?ma;18 4de2:1/=?l53:&26f<43-;9h7=4$00f>6=#9;l1?6*>3180?!749390(<==:29'565=;2.:?94<;%301?5<,89=6>5+12597>"6;1087)?i0;08 4`62;1/=>m53:&27a<43-;8i7=4$01e>6=#9=:1?6*>4080?!73:390(<:<:29'512=;2.:884<;%372?5<,8><6>5+15:97>"6<0087)?;a;18 42e2:1/=9m53:&20a<43-;?i7=4$06e>6=#9<:1?6*>5080?!72:390(<;<:29'502=;2.:984<;%362?5<,8?<6>5+14:97>"6=0087)?:a;18 43e2:1/=8m53:&21a<43-;>i7=4$07e>6=#9?:1?6*>6080?!71:390(<8<:29'532=;2.::84<;%352?5<,8<<6>5+17:97>"6>0087)?9a;18 40e2:1/=;m53:&22a<43-;=i7=4$04e>6=#9>:1?6*>7080?!70:390(<9<:29'522=;2.:;84<;%342?5<,8=<6>5+16:97>"6?0087)?8a;18 41e2:1/=:m53:&23a<43-;<i7=4$05e>6=#91:1?6*>8080?!7?:390(<6<:29'5=2=;2.:484<;%3;2?5<,82<6>5+19:97>"600087)?7a;18 4>e2:1/=5m53:&2<a<43-;3i7=4$0:e>6=#90:1?6*>9080?!7>:390(<7<:29'5<2=;2.:584<;%3:2?5<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?jc;32<>"6;0087)?me;3g7>"6ll0:h>5+1ed95a5<f8o;6?:4n0g2>72<,8oo68h4$0gf>4ce3-;io7<4$0`g>7=#;?h1=i:4$24`>4b33g9=h7<;;o15a?433-;8m7=4i030>5<<a8;96=44i036>5<<a8;?6=44i0d0>5<<a8l96=44i0d6>5<<a8l?6=44i7d94?=n>l0;66g81;29?l172900e<k8:188m4c12900e<k6:188m4c?2900e<k<:188m4c52900e<k::188m4c32900e;=50;9j21<722c=97>5;h45>5<<g?=1<75`6983>>i113:17b8n:188m<7=83.:hl460:l2`<<732c3j7>5$0fb><6<f8n26<54i9f94?"6lh02<6`>d881?>o?k3:1(<jn:828j4b>2:10e5l50;&2`d<>82d:h44;;:k;e?6=,8nj64>4n0f:>0=<a131<7*>d`8:4>h6l00=76g78;29 4bf20:0b<j6:698m=1=83.:hl460:l2`<<?32c3:7>5$0fb><6<f8n26454i9794?"6lh02<6`>d88b?>o?<3:1(<jn:828j4b>2k10e5<50;&2`d<>82d:h44l;:k;5?6=,8nj64>4n0f:>a=<a1:1<7*>d`8:4>h6l00n76g8f;29 4bf20:0b<j6:g98m2c=83.:hl460:l2`<<6821b;i4?:%3ge??73g;o57?>;:k4g?6=,8nj64>4n0f:>44<3`=i6=4+1ec9=5=i9m31=>54i6c94?"6lh02<6`>d8820>=n?00;6)?ka;;3?k7c13;>76g68;29 4bf20:0b<j6:048?l?0290/=io5919m5a?=9>10e4850;&2`d<>82d:h44>8:9j=0<72-;om77?;o3g=?7>32c287>5$0fb><6<f8n26<o4;h;0>5<#9mk15=5a1e;95g=<a081<7*>d`8:4>h6l00:o65f8d83>!7ci33;7c?k9;3g?>o?;3:1(<jn:828j4b>28o07d97:18'5ag=191e=i751g98mgc=83.:hl4md:l2`<<732cio7>5$0fb>gb<f8n26<54ic`94?"6lh0ih6`>d881?>oei3:1(<jn:cf8j4b>2:10eo<50;&2`d<e92d:h44?;:ka4?6=,8nj6o?4n0f:>4=<aho1<7*>d`8a5>h6l00976gnd;29 4bf2k;0b<j6:298mde=83.:hl4m1:l2`<<332cjn7>5$0fb>g7<f8n26854i`c94?"6lh0i=6`>d885?>of13:1(<jn:c38j4b>2>10el650;&2`d<e92d:h447;:kb3?6=,8nj6o?4n0f:><=<ah<1<7*>d`8a5>h6l00j76gn5;29 4bf2k;0b<j6:c98md5=83.:hl4m1:l2`<<d32cj>7>5$0fb>g7<f8n26i54i`394?"6lh0i=6`>d88f?>of83:1(<jn:c38j4b>2o10e4h50;&2`d<e92d:h44>0:9j=`<72-;om7l>;o3g=?7632c2h7>5$0fb>g7<f8n26<<4;h;`>5<#9mk1n<5a1e;956=<a0h1<7*>d`8a5>h6l00:865f9`83>!7ci3h:7c?k9;36?>oe13:1(<jn:c38j4b>28<07dl7:18'5ag=j81e=i751698mg1=83.:hl4m1:l2`<<6021bn;4?:%3ge?d63g;o57?6;:ka1?6=,8nj6o?4n0f:>4g<3`h?6=4+1ec9f4=i9m31=o54ic194?"6lh0i=6`>d882g>=nio0;6)?ka;`2?k7c13;o76gn4;29 4bf2k;0b<j6:0g8?l?>290/=io5b09m5a?=9o10en=50;&2`d<d:2d:h44?;:k`5?6=,8nj6n<4n0f:>4=<aj:1<7*>d`8`6>h6l00976gmf;29 4bf2j80b<j6:298m72=83.:hl4=3:l2`<<732c9>7>5$0fb>75<f8n26<54i3394?"6lh09?6`>d881?>o583:1(<jn:318j4b>2:10e<h50;&2`d<5;2d:h44;;:k2a?6=,8nj6?=4n0f:>0=<a;n1<7*>d`817>h6l00=76g=c;29 4bf2;90b<j6:698m7d=83.:hl4=3:l2`<<?32c9m7>5$0fb>75<f8n26454i3;94?"6lh09?6`>d88b?>o503:1(<jn:318j4b>2k10e?950;&2`d<5;2d:h44l;:k12?6=,8nj6?=4n0f:>a=<a;?1<7*>d`817>h6l00n76g>d;29 4bf2;90b<j6:g98m62=83.:hl4<3:l2`<<732c8>7>5$0fb>65<f8n26<54i2394?"6lh08?6`>d881?>o483:1(<jn:218j4b>2:10e?h50;&2`d<4;2d:h44;;:k0`?6=,8nj6>=4n0f:>0=<a:i1<7*>d`807>h6l00=76g<b;29 4bf2:90b<j6:698m6g=83.:hl4<3:l2`<<?32c857>5$0fb>65<f8n26454i2:94?"6lh08?6`>d88b?>o4?3:1(<jn:218j4b>2k10e>850;&2`d<4;2d:h44l;:k01?6=,8nj6>=4n0f:>a=<a;o1<7*>d`807>h6l00n76g;5;29 4bf2=>0b<j6:198m15=83.:hl4;4:l2`<<632c?>7>5$0fb>12<f8n26?54i5394?"6lh0?86`>d880?>o383:1(<jn:568j4b>2=10e>h50;&2`d<3<2d:h44:;:k7a?6=,8nj69:4n0f:>3=<a=n1<7*>d`870>h6l00<76g;c;29 4bf2=>0b<j6:998m1d=83.:hl4;4:l2`<<>32c?m7>5$0fb>12<f8n26l54i5;94?"6lh0?86`>d88a?>o303:1(<jn:568j4b>2j10e9950;&2`d<3<2d:h44k;:k72?6=,8nj69:4n0f:>`=<a:o1<7*>d`870>h6l00m76g:5;29 4bf2<>0b<j6:198m05=83.:hl4:4:l2`<<632c>>7>5$0fb>02<f8n26?54i4394?"6lh0>86`>d880?>o283:1(<jn:468j4b>2=10e8k50;&2`d<2<2d:h44:;:k6`?6=,8nj68:4n0f:>3=<a<i1<7*>d`860>h6l00<76g:b;29 4bf2<>0b<j6:998m0g=83.:hl4:4:l2`<<>32c>57>5$0fb>02<f8n26l54i4:94?"6lh0>86`>d88a?>o2?3:1(<jn:468j4b>2j10e8850;&2`d<2<2d:h44k;:k7b?6=,8nj68:4n0f:>`=<a:?36=4+1ec9701<f8n26=54i275>5<#9mk1?894n0f:>4=<a:?>6=4+1ec9701<f8n26?54i277>5<#9mk1?894n0f:>6=<a:?86=4+1ec9704<f8n26=54i272>5<#9mk1?8<4n0f:>4=<a:?;6=4+1ec9704<f8n26?54i26e>5<#9mk1?8<4n0f:>6=<a:<j6=4+1ec973?<f8n26=54i24;>5<#9mk1?;74n0f:>4=<a:<<6=4+1ec973?<f8n26?54i245>5<#9mk1?;74n0f:>6=<a:<>6=4+1ec973?<f8n26954i247>5<#9mk1?;74n0f:>0=<a:<86=4+1ec973?<f8n26;54i241>5<#9mk1?;74n0f:>2=<a:<:6=4+1ec9736<f8n26=54i27e>5<#9mk1?;>4n0f:>4=<a:?n6=4+1ec9736<f8n26?54i27g>5<#9mk1?;>4n0f:>6=<a:?h6=4+1ec9736<f8n26954i27a>5<#9mk1?;>4n0f:>0=<a:?j6=4+1ec9736<f8n26;54i27:>5<#9mk1?;>4n0f:>2=<gmn1<7*>d`8gg>h6l00;76akb;29 4bf2mi0b<j6:098ka?=83.:hl4kc:l2`<<532eo47>5$0fb>ae<f8n26>54oe594?"6lh0oo6`>d887?>ic>3:1(<jn:ea8j4b>2<10ci;50;&2`d<ck2d:h449;:mg0?6=,8nj6im4n0f:>2=<gm91<7*>d`8gg>h6l00376ak2;29 4bf2mi0b<j6:898ka7=83.:hl4kc:l2`<<f32eo<7>5$0fb>ae<f8n26o54obg94?"6lh0oo6`>d88`?>idl3:1(<jn:ea8j4b>2m10cnm50;&2`d<ck2d:h44j;:m`f?6=,8nj6im4n0f:>c=<gjk1<7*>d`8gg>h6l00:<65`c883>!7ci3nh7c?k9;32?>id03:1(<jn:ea8j4b>28807bm8:18'5ag=lj1e=i751298kf0=83.:hl4kc:l2`<<6<21do84?:%3ge?bd3g;o57?:;:mf0?6=,8nj6im4n0f:>40<3fo86=4+1ec9`f=i9m31=:54od094?"6lh0oo6`>d882<>=hm80;6)?ka;f`?k7c13;276aj0;29 4bf2mi0b<j6:0c8?jba290/=io5db9m5a?=9k10cik50;&2`d<ck2d:h44>c:9l`d<72-;om7jl;o3g=?7c32ehj7>5$0fb>ae<f8n26<k4;na7>5<#9mk1hn5a1e;95c=<g8:h6=4+1ec955d<f8n26=54o02b>5<#9mk1==l4n0f:>4=<g8:26=4+1ec955d<f8n26?54o02;>5<#9mk1==l4n0f:>6=<gol1<7*>d`8ea>h6l00;76aid;29 4bf2oo0b<j6:098kcd=83.:hl4ie:l2`<<532emm7>5$0fb>cc<f8n26>54og;94?"6lh0mi6`>d887?>ia03:1(<jn:gg8j4b>2<10ck950;&2`d<am2d:h449;:me2?6=,8nj6kk4n0f:>2=<go?1<7*>d`8ea>h6l00376ai4;29 4bf2oo0b<j6:898kc5=83.:hl4ie:l2`<<f32em>7>5$0fb>cc<f8n26o54og294?"6lh0mi6`>d88`?>ibn3:1(<jn:gg8j4b>2m10chk50;&2`d<am2d:h44j;:mf`?6=,8nj6kk4n0f:>c=<gli1<7*>d`8ea>h6l00:<65`ec83>!7ci3ln7c?k9;32?>ibi3:1(<jn:gg8j4b>28807bk6:18'5ag=nl1e=i751298k`>=83.:hl4ie:l2`<<6<21di:4?:%3ge?`b3g;o57?:;:m243<72-;om7hj;o3g=?7132e:<84?:%3ge?`b3g;o57?8;:m241<72-;om7hj;o3g=?7?32e:<>4?:%3ge?`b3g;o57?6;:m247<72-;om7hj;o3g=?7f32e:<<4?:%3ge?`b3g;o57?m;:m245<72-;om7hj;o3g=?7d32emo7>5$0fb>cc<f8n26<j4;nd2>5<#9mk1jh5a1e;95`=<gl<1<7*>d`8ea>h6l00:j65`10394?"6lh0:==5a1e;94>=h99l1<7*>d`8255=i9m31=65`11g94?"6lh0:==5a1e;96>=h99n1<7*>d`8255=i9m31?65rb216>5<68;0;6=u+1g495ab<@:=:7E=;c:X55?77sk0m6n4>0;:92??=?3;96<?51`82=?b=9:0n6<:5}og6>5=i99=1<6*>b`80?!7ej390(<<m:29'57e=;2.:>i4<;%31a?5<,88m6>5+12297>"6;8087)?<2;18 4542:1/=>:53:&270<43-;8:7=4$014>6=#9:21?6*>f181?!7a9380(<=l:29'56b=;2.:?h4<;%30b?5<,8>;6>5+15397>"6<;087)?;3;18 4232:1/=9;53:&203<43-;?;7=4$06;>6=#9=31?6*>4`80?!73j390(<:l:29'51b=;2.:8h4<;%37b?5<,8?;6>5+14397>"6=;087)?:3;18 4332:1/=8;53:&213<43-;>;7=4$07;>6=#9<31?6*>5`80?!72j390(<;l:29'50b=;2.:9h4<;%36b?5<,8<;6>5+17397>"6>;087)?93;18 4032:1/=;;53:&223<43-;=;7=4$04;>6=#9?31?6*>6`80?!71j390(<8l:29'53b=;2.::h4<;%35b?5<,8=;6>5+16397>"6?;087)?83;18 4132:1/=:;53:&233<43-;<;7=4$05;>6=#9>31?6*>7`80?!70j390(<9l:29'52b=;2.:;h4<;%34b?5<,82;6>5+19397>"60;087)?73;18 4>32:1/=5;53:&2<3<43-;3;7=4$0:;>6=#9131?6*>8`80?!7?j390(<6l:29'5=b=;2.:4h4<;%3;b?5<,83;6>5+18397>"61;087)?63;18 4?32:1/=4;53:&2=3<43-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5<b=;2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4g32:1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>eb825==#9:31?6*>bd82`6=#9mo1=i=4$0fe>4b43g;n<7<;;o3f5?433-;nh7;i;%3fa?7bj2.:nn4=;%3a`?4<,:<i6<j;;%15g?7c<2d8:i4=4:l02`<5<2.:?l4<;h327?6=3`;:>7>5;h321?6=3`;:87>5;h3e7?6=3`;m>7>5;h3e1?6=3`;m87>5;h4e>5<<a?o1<75f7083>>o083:17d?j7;29?l7b>3:17d?j9;29?l7b03:17d?j3;29?l7b:3:17d?j5;29?l7b<3:17d8<:188m32=831b:84?::k52?6=3f<<6=44o7:94?=h>00;66a9a;29?l?6290/=io5919m5a?=821b4k4?:%3ge??73g;o57?4;h:g>5<#9mk15=5a1e;96>=n0j0;6)?ka;;3?k7c13907d6m:18'5ag=191e=i754:9j<d<72-;om77?;o3g=?3<3`226=4+1ec9=5=i9m31:65f8983>!7ci33;7c?k9;58?l>0290/=io5919m5a?=021b4;4?:%3ge??73g;o5774;h:6>5<#9mk15=5a1e;9e>=n0=0;6)?ka;;3?k7c13h07d6=:18'5ag=191e=i75c:9j<4<72-;om77?;o3g=?b<3`2;6=4+1ec9=5=i9m31i65f7g83>!7ci33;7c?k9;d8?l1b290/=io5919m5a?=9910e:j50;&2`d<>82d:h44>1:9j3f<72-;om77?;o3g=?7532c<n7>5$0fb><6<f8n26<=4;h5b>5<#9mk15=5a1e;951=<a>31<7*>d`8:4>h6l00:965f9983>!7ci33;7c?k9;35?>o>?3:1(<jn:828j4b>28=07d79:18'5ag=191e=i751998m<3=83.:hl460:l2`<<6121b594?:%3ge??73g;o57?n;:k:7?6=,8nj64>4n0f:>4d<3`396=4+1ec9=5=i9m31=n54i9g94?"6lh02<6`>d882`>=n0:0;6)?ka;;3?k7c13;n76g88;29 4bf20:0b<j6:0d8?ldb290/=io5be9m5a?=821bnn4?:%3ge?dc3g;o57?4;h`a>5<#9mk1ni5a1e;96>=njh0;6)?ka;`g?k7c13907dl=:18'5ag=j81e=i750:9jf5<72-;om7l>;o3g=?7<3`kn6=4+1ec9f4=i9m31>65fae83>!7ci3h:7c?k9;18?lgd290/=io5b09m5a?=<21bmo4?:%3ge?d63g;o57;4;hcb>5<#9mk1n<5a1e;92>=ni00;6)?ka;`2?k7c13=07do7:18'5ag=j81e=i758:9je2<72-;om7l>;o3g=??<3`k=6=4+1ec9f4=i9m31m65fa483>!7ci3h:7c?k9;`8?lg4290/=io5b09m5a?=k21bm?4?:%3ge?d63g;o57j4;hc2>5<#9mk1n<5a1e;9a>=ni90;6)?ka;`2?k7c13l07d7i:18'5ag=j81e=i751198m<c=83.:hl4m1:l2`<<6921b5i4?:%3ge?d63g;o57?=;:k:g?6=,8nj6o?4n0f:>45<3`3i6=4+1ec9f4=i9m31=954i8c94?"6lh0i=6`>d8821>=nj00;6)?ka;`2?k7c13;=76gm8;29 4bf2k;0b<j6:058?ld0290/=io5b09m5a?=9110eo850;&2`d<e92d:h44>9:9jf0<72-;om7l>;o3g=?7f32ci87>5$0fb>g7<f8n26<l4;h`0>5<#9mk1n<5a1e;95f=<ahl1<7*>d`8a5>h6l00:h65fa583>!7ci3h:7c?k9;3f?>o>13:1(<jn:c38j4b>28l07dm<:18'5ag=k;1e=i750:9jg4<72-;om7m=;o3g=?7<3`i;6=4+1ec9g7=i9m31>65fbg83>!7ci3i97c?k9;18?l43290/=io5229m5a?=821b>?4?:%3ge?443g;o57?4;h02>5<#9mk1>>5a1e;96>=n:90;6)?ka;00?k7c13907d?i:18'5ag=::1e=i754:9j5`<72-;om7<<;o3g=?3<3`8o6=4+1ec966=i9m31:65f2b83>!7ci3887c?k9;58?l4e290/=io5229m5a?=021b>l4?:%3ge?443g;o5774;h0:>5<#9mk1>>5a1e;9e>=n:10;6)?ka;00?k7c13h07d<8:18'5ag=::1e=i75c:9j63<72-;om7<<;o3g=?b<3`8>6=4+1ec966=i9m31i65f1e83>!7ci3887c?k9;d8?l53290/=io5329m5a?=821b??4?:%3ge?543g;o57?4;h12>5<#9mk1?>5a1e;96>=n;90;6)?ka;10?k7c13907d<i:18'5ag=;:1e=i754:9j7a<72-;om7=<;o3g=?3<3`9h6=4+1ec976=i9m31:65f3c83>!7ci3987c?k9;58?l5f290/=io5329m5a?=021b?44?:%3ge?543g;o5774;h1;>5<#9mk1?>5a1e;9e>=n;>0;6)?ka;10?k7c13h07d=9:18'5ag=;:1e=i75c:9j70<72-;om7=<;o3g=?b<3`8n6=4+1ec976=i9m31i65f4483>!7ci3>?7c?k9;28?l24290/=io5459m5a?=921b8?4?:%3ge?233g;o57<4;h62>5<#9mk1895a1e;97>=n<90;6)?ka;67?k7c13>07d=i:18'5ag=<=1e=i755:9j0`<72-;om7:;;o3g=?0<3`>o6=4+1ec901=i9m31;65f4b83>!7ci3>?7c?k9;:8?l2e290/=io5459m5a?=121b8l4?:%3ge?233g;o57o4;h6:>5<#9mk1895a1e;9f>=n<10;6)?ka;67?k7c13i07d:8:18'5ag=<=1e=i75d:9j03<72-;om7:;;o3g=?c<3`9n6=4+1ec901=i9m31j65f5483>!7ci3??7c?k9;28?l34290/=io5559m5a?=921b9?4?:%3ge?333g;o57<4;h72>5<#9mk1995a1e;97>=n=90;6)?ka;77?k7c13>07d;j:18'5ag===1e=i755:9j1a<72-;om7;;;o3g=?0<3`?h6=4+1ec911=i9m31;65f5c83>!7ci3??7c?k9;:8?l3f290/=io5559m5a?=121b944?:%3ge?333g;o57o4;h7;>5<#9mk1995a1e;9f>=n=>0;6)?ka;77?k7c13i07d;9:18'5ag===1e=i75d:9j0c<72-;om7;;;o3g=?c<3`9>47>5$0fb>6303g;o57>4;h162?6=,8nj6>;8;o3g=?7<3`9>97>5$0fb>6303g;o57<4;h160?6=,8nj6>;8;o3g=?5<3`9>?7>5$0fb>6353g;o57>4;h165?6=,8nj6>;=;o3g=?7<3`9><7>5$0fb>6353g;o57<4;h17b?6=,8nj6>;=;o3g=?5<3`9=m7>5$0fb>60>3g;o57>4;h15<?6=,8nj6>86;o3g=?7<3`9=;7>5$0fb>60>3g;o57<4;h152?6=,8nj6>86;o3g=?5<3`9=97>5$0fb>60>3g;o57:4;h150?6=,8nj6>86;o3g=?3<3`9=?7>5$0fb>60>3g;o5784;h156?6=,8nj6>86;o3g=?1<3`9==7>5$0fb>6073g;o57>4;h16b?6=,8nj6>8?;o3g=?7<3`9>i7>5$0fb>6073g;o57<4;h16`?6=,8nj6>8?;o3g=?5<3`9>o7>5$0fb>6073g;o57:4;h16f?6=,8nj6>8?;o3g=?3<3`9>m7>5$0fb>6073g;o5784;h16=?6=,8nj6>8?;o3g=?1<3fno6=4+1ec9`f=i9m31<65`dc83>!7ci3nh7c?k9;38?jb>290/=io5db9m5a?=:21dh54?:%3ge?bd3g;o57=4;nf4>5<#9mk1hn5a1e;90>=hl?0;6)?ka;f`?k7c13?07bj::18'5ag=lj1e=i756:9l`1<72-;om7jl;o3g=?1<3fn86=4+1ec9`f=i9m31465`d383>!7ci3nh7c?k9;;8?jb6290/=io5db9m5a?=i21dh=4?:%3ge?bd3g;o57l4;naf>5<#9mk1hn5a1e;9g>=hkm0;6)?ka;f`?k7c13n07bml:18'5ag=lj1e=i75e:9lgg<72-;om7jl;o3g=?`<3fij6=4+1ec9`f=i9m31==54ob;94?"6lh0oo6`>d8825>=hk10;6)?ka;f`?k7c13;976al7;29 4bf2mi0b<j6:018?je1290/=io5db9m5a?=9=10cn;50;&2`d<ck2d:h44>5:9la1<72-;om7jl;o3g=?7132en?7>5$0fb>ae<f8n26<94;ng1>5<#9mk1hn5a1e;95==<gl;1<7*>d`8gg>h6l00:565`e183>!7ci3nh7c?k9;3b?>icn3:1(<jn:ea8j4b>28h07bjj:18'5ag=lj1e=i751b98kag=83.:hl4kc:l2`<<6l21dok4?:%3ge?bd3g;o57?j;:m`0?6=,8nj6im4n0f:>4`<3f;;o7>5$0fb>46e3g;o57>4;n33e?6=,8nj6<>m;o3g=?7<3f;;57>5$0fb>46e3g;o57<4;n33<?6=,8nj6<>m;o3g=?5<3flm6=4+1ec9b`=i9m31<65`fe83>!7ci3ln7c?k9;38?j`e290/=io5fd9m5a?=:21djl4?:%3ge?`b3g;o57=4;nd:>5<#9mk1jh5a1e;90>=hn10;6)?ka;df?k7c13?07bh8:18'5ag=nl1e=i756:9lb3<72-;om7hj;o3g=?1<3fl>6=4+1ec9b`=i9m31465`f583>!7ci3ln7c?k9;;8?j`4290/=io5fd9m5a?=i21dj?4?:%3ge?`b3g;o57l4;nd3>5<#9mk1jh5a1e;9g>=hmo0;6)?ka;df?k7c13n07bkj:18'5ag=nl1e=i75e:9laa<72-;om7hj;o3g=?`<3foh6=4+1ec9b`=i9m31==54od`94?"6lh0mi6`>d8825>=hmh0;6)?ka;df?k7c13;976aj9;29 4bf2oo0b<j6:018?jc?290/=io5fd9m5a?=9=10ch950;&2`d<am2d:h44>5:9l550=83.:hl4ie:l2`<<6>21d==;50;&2`d<am2d:h44>7:9l552=83.:hl4ie:l2`<<6021d===50;&2`d<am2d:h44>9:9l554=83.:hl4ie:l2`<<6i21d==?50;&2`d<am2d:h44>b:9l556=83.:hl4ie:l2`<<6k21djn4?:%3ge?`b3g;o57?k;:me5?6=,8nj6kk4n0f:>4c<3fo=6=4+1ec9b`=i9m31=k54o032>5<#9mk1=<>4n0f:>5=<g8:m6=4+1ec9546<f8n26<54o02f>5<#9mk1=<>4n0f:>7=<g8:o6=4+1ec9546<f8n26>54}r3`a?6=m8q6?:<51308962f2><01>:m:64896262><01>:=:648965f2><01>:<:64897432?n01?=8:7f897422>=01?<8:658974>2>=01?<m:658974c2>=01?<i:65897562>=01?=<:65897c32?n01?h8:7f897c22>=01?k8:65897c>2>=01?km:65897cc2>=01?ki:65897`62>=01?h<:65897132?n01?9;:0gb?84ej3=<70<mc;54?84el3=<70<me;54?84en3=<70<le;54?84dn3=<70<k0;54?84c93=<70<k2;54?87aj3=<70?ic;54?87al3=<70?ie;54?87an3=<70<?e;54?847n3=<70<>0;54?84693=<70<>2;54?854<33270=<4;c7?854<3km70=<4;`0?854<3h?70=<4;`6?854<3h=70=<4;`4?854<3h370=<4;`:?854<33j70=<4;;a?854<33h70=<4;;g?854<33n70=<4;;e?854<3k;70=<4;c2?854<3k970=<4;c0?854<3k>70=<4;c5?854<3k<70=<4;c;?854<3k270=<4;cb?854<3ki70=<4;c`?854<3ko70=<4;cf?854<3h;70=<4;`1?854<3hm70=<4;a3?854<3i:70=<4;a0?854<3;o70=<4;06?854<38=70=<4;04?854<38370=<4;07?854<38n70=<4;16?854<39=70=<4;14?854<39370=<4;1f?854<3>=70=<4;64?854<3>370=<4;6:?854<3>>70=<4;6e?854<3?=70=<4;74?854<3?370=<4;7:?854<39=>63<358026=:;:>1?;:4=217>602349887=:9:?071<4=h16?>:534`896532:?h70=<4;3e7>;4;=0:j?5232695`5<5:9?6<k=;<100?7b=278?94>e59>762=>:16?>:5659>762=><16?>:5679>762=;?k01>=;:24;?854<39=;63<358023=:;:>1?;?4=217>63a349887=:e:?071<4=m16?>;5979>763=?l16?>;5869>763=1816?>;5b`9>763=jk16?>;5bb9>763=jl16?>;5989>763=i=16?>;5ag9>763=j:16?>;5b59>763=j<16?>;5b79>763=j>16?>;5b99>763=j016?>;59`9>763=1k16?>;59b9>763=1m16?>;59d9>763=1o16?>;5a19>763=i816?>;5a39>763=i:16?>;5a49>763=i?16?>;5a69>763=i116?>;5a89>763=ih16?>;5ac9>763=ij16?>;5ae9>763=il16?>;5b19>763=j;16?>;5bg9>763=k916?>;5c09>763=k:16?>;51e9>763=:<16?>;5279>763=:>16?>;5299>763=:=16?>;52d9>763=;<16?>;5379>763=;>16?>;5399>763=;l16?>;5479>763=<>16?>;5499>763=<016?>;5449>763=<o16?>;5579>763==>16?>;5599>763==016?>;5370896522:<870=<5;150>;4;<08:852327970?<5:9>6>;n;<101?52j278?84<5b9>763=9o901>=::0d1?854=3;n?63<3482a7=:;:?1=h;4=216>4c33498978<;<101?033498978:;<101?01349897=9a:?070<4>116?>;5375896522:<=70=<5;155>;4;<089k52327970c<5:9>6>;k;|q2gc<72>q6?:=51e4897432>=01?k;:65897de2?n01?mj:7f894`e2?n01?>j:7f8yv7c83:1>v3=b082`0=::k81=?o4}r3g5?6=:r79n>4>d49>6g4=9;30q~?i7;296~;6nk0:h8521ga92a=z{8l36=4={<3eg?7c=27:ji49d:p5c?=838p1<hk:0f6?87am3<o7p}>f`83>7}:9oo1=i;4=0de>3b<uz8;<7>52z?2bg<6mh16>=;51e78yv4793:1>v3>fb82ad=::9<1=i;4}r036?6=:r7:ji4>e`9>651=9m?0q~<?3;296~;6nl0:il5221:95a3<uz8;87>52z?2bc<6mh16>=751e78yv47i3:1>v3=0d82`0=::9l1:i5rs32a>5<5s48;j7?k5:?155<1l2wx>=m50;0x977728n>70<>1;4g?xu58m0;6?u220395a3<5;;96;j4}r027?6=:r79<h4>e`9>64>=9m?0q~<>4;296~;58o0:il5220;95a3<uz8:97>52z?155<6mh16><o51e78yv46>3:1>v3=1082ad=::8h1=i;4}r023?6=:r79=?4>e`9>64e=9m?0q~<>d;296~;6no0:h852233957g<uz8:i7>52z?157<6l<16>??513;8yv46n3:1:v3=1g82`f=::;91=?84=3`5>44?3498;7?=7:?164<6:116>h>513:8yv4583:1?v3=1g842>;5:;0<:63=2082`0=z{;896=4={_634>;5:;0:hn5rs307>5<4s48987?k5:?160<1l279?549d:p673=839p1?<::0f6?845?3<o70<<9;4g?xu5:?0;6>u223495a3<5;8>6<kn;<00<?7d92wx>?950;1x974028n>70<=9;4g?844i3<o7p}=2983>6}::;21=i;4=304>4cf348857?l1:p67?=839p1?<6:0f6?845j3<o70<<b;4g?xu5:h0;6>u223c95a3<5;826<kn;<00e?7d92wx>?l50;1x974e28n>70<=d;4g?844k3<o7p}=2b83>6}::;i1=i;4=30a>4cf3488n7?l1:p67b=839p1?<k:0f6?845n3<o70<<d;4g?xu5:l0;6>u223g95a3<5;8o6<kn;<00g?7d92wx>?h50;1x974a28n>70<<1;4g?844m3<o7p}=3183>6}::::1=i;4=30e>4cf3488h7?l1:p667=839p1?=>:0f6?844;3<o70<<f;4g?xu5;;0;6>u222095a3<5;9:6<kn;<00a?7d92wx>>=50;0x975428n>70<;0;4g?xu5;=0;6>u222695a3<5;986<kn;<00b?7d92wx>>;50;1x974328oj70<<7;3`5>;5;?0:h85rs362>5<5s48?=7?k5:?105<6k81v?:=:181842>3==70<<7;3g1>{t:=91<7<t=374>20<5;936<j:;|q101<72;q6>865779>66?=9m?0q~<;5;296~;5=00<:63=3`82`0=z{;>=6=4={<06e?113488n7?k5:p611=838p1?;m:648975d28n>7p}=4983>7}::<i1;;5222f95a3<uz8?57>52z?11a<0>279?h4>d49~w72f2909w0<:e;55?844n3;o96s|25`94?4|5;?m6:84=363>4b23ty98n4?:5y>63g=??16>8851ea8977?288<70<<6;310>{t:=n1<7:t=34a>20<5;?<6<jl;<02<?75=279>;4>249~w72b290?w0<9c;55?84203;oo63=188262=::;21=?;4}r07b?6=<r79:i486:?11<<6lj16><751378974f288>7p}=5183>1}::?o1;;5224c95ae<5;;j6<<8;<01g?75=2wx>8?50;6x970a2><01?;m:0f`?846i3;9963=2d8260=z{;?96=4;{<044?11348>o7?kc:?15g<6:>16>>>51378yv42;3:18v3=70842>;5=m0:hn5220`9573<5;996<<:;|q111<72=q6>:<5779>60c=9mi01??l:004?844<3;996s|24794?2|5;=86:84=37e>4bd348:o7?=5:?104<6:<1v?8?:18:841i3;oo63=d98262=::j?1=?94=326>440348<j7?=6:?071<3i278?94:a:?070<3i278?84:a:p637=833p1?8m:0f`?84d=3;9963=048260=::1:1=?84=217>1d<5:9?68l4=216>1d<5:9>68l4=3f;>44?3ty9:?4?:8y>63e=9mi01?j6:004?84d>3;9;63=078262=::1;1=?84=217>1e<5:9?68m4=216>1e<5:9>68m4}r057?6=1r79:i4>db9>6f0=9;?01?>9:006?84?:3;9:63<3587`>;4;=0>h63<3487`>;4;<0>h63=d8826==z{;<?6=46{<05a?7ck279hl4>269>6f1=9;=01?>8:004?84?;3;9:63<3587a>;4;=0>i63<3487a>;4;<0>i6s|27794??|5;<m6<jl;<0`3?75=279<:4>249>6=2=9;<01>=;:2d896532<:01>=::2d896522<:01?jn:00;?xu5>?0;64u226295ae<5;ni6<<8;<0`<?75?279<54>269>6=3=9;<01>=;:52896532<;01>=::52896522<;0q~<97;29=~;5?80:hn522b:9573<5;:36<<:;<0;2?75>278?94;1:?071<2:278?84;1:?070<2:279ho4>299~w70?2902w0<82;3gg>;5lj0:>:522b;9571<5;:26<<8;<0;3?75>278?94;2:?071<2;278?84;2:?070<2;2wx>;750;;x971428nh70<l9;311>;5800:>85226g9570<5:9?69=4=217>03<5:9>69=4=216>03<5;nh6<<7;|q131<72:q6>::51e7897122?n01?66:7f8yv40=3:1?v3=7482`0=::><1:i5229c92a=z{;==6=4<{<042?7c=279;:49d:?1<g<1l2wx>:950;1x971028n>70<88;4g?84?k3<o7p}=7983>6}::>21=i;4=35:>3b<5;2o6;j4}r04=?6=;r79;44>d49>62g=>m16>5k56e9~w71f2908w0<8a;3g1>;5?k0=h63=8g85`>{t:>h1<7=t=35a>4b2348<o78k;<0:4?0c3ty9;n4?:2y>62e=9m?01?9k:7f897?62?n0q~<8d;296~;5?m0:h85229:92a=z{;=n6=4={<0;<?7d9279;h4>d49~w71a2908w0<85;3fe>;5000:o<5226d95a3<uz83<7>53z?133<6mh16>5o51b3897>728n>7p}=8083>6}::>=1=ho4=3:a>4e63483=7?k5:p6=4=839p1?97:0gb?84?k3;h=63=8382`0=z{;286=4<{<04=?7bi2794i4>c09>6=5=9m?0q~<74;297~;5?h0:il5229g95f7<5;2?6<j:;|q1<0<72:q6>:l51dc897>a28i:70<75;3g1>{t:1<1<7=t=35`>4cf3482<7?l1:?1<3<6l<1v?68:180840l3;nm63=9082g4=::1=1=i;4}r0:7?6=:r795n4>db9>6g7=9;=0q~<62;296~;51m0:hn522c09570<uz8287>52z?1=`<6lj16>o?51378yv4>=3:1>v3=9g82`f=::k91=?;4}r0:2?6=:r79m=4>db9>6g5=9;<0q~<67;296~;5i80:hn522c3957?<uz8247>52z?1e7<6lj16>o?51348yv4>13:1>v3=a282`f=::k91=?94}r0:e?6=:r79m94>db9>6g5=9;20q~<6b;296~;5i<0:hn522c3957><uz8j:7>52z?1=a<0>279454>d49~w7g02909w0<6c;55?84?13;o96s|2`:94?4|5;3n6:84=3:b>4b23ty9m44?:3y>6<`=??16>5l51e78yv4fi3:1>v3=a1842>;50j0:h85rs3ca>5<5s48j=799;<0;`?7c=2wx>lm50;0x97g52><01?6j:0f6?xu5im0;6?u22`1933=::1l1=i;4}r0ba?6=:r79m9486:?1=5<6l<1v?oi:18184f=3==70<61;3g1>{t:k:1<7=t^526?84e83;oo63=b3826==z{;h96=4={<0a4?11348i>7?k5:p6g2=838p1?l;:0f`?84e:3;996s|2c794?4|5;h>6<jl;<0a6?75?2wx>o850;0x97132>=01?l9:0f6?xu5j>0;6?u22c`95a3<5;hh6;j4}r0a<?6=:r79nn4>d49>6gb=>m1v?l6:18184el3;o963=bd85`>{t:kk1<7<t=3`f>4b2348ij78k;|q1g5<72;q6>ol51dc897e228n>7p}=c083>7}::ki1=ho4=3a5>4b23ty9o?4?:3y>6gb=9lk01?m8:0f6?xu5k:0;6?u22cg95`g<5;i36<j:;|q1g1<72;q6>oh51dc897e>28n>7p}=c`83>7}::jo1=i;4=3ae>3b<uz8hn7>52z?1gc<6l<16>i>56e9~w7ed2909w0<k0;3g1>;5l80=h6s|2bf94?4|5;n:6<j:;<0g6?0c3ty9h>4?:3y>6fc=9lk01?j7:0f6?xu5l=0;6?u22bd95`g<5;n26<j:;|q1`0<72;q6>i>51dc897bf28n>7p}=d783>7}::m;1=ho4=3fa>4b23ty9h:4?:3y>6a4=9lk01?jl:0f6?xu5lm0;6?u22cd95a3<5;o;6<<n;|q1``<72;q6>i<51e7897c728827p}=dg83>6}::l81;;522d3933=::l:1=i;4}r0f5?6==r79i<4>db9>6`5=9;<01?<>:004?84e>3;9;63=e18262=z{;o96=4={_637>;5m;0:hn5rs3g7>5<4s48n87?k5:?1a0<1l279j549d:p6`3=839p1?k::0f6?84b?3<o70<i9;4g?xu5m?0;6>u22d495a3<5;o>6<kn;<0e<?7d92wx>h950;1x97c028n>70<j9;4g?84ai3<o7p}=e983>6}::l21=i;4=3g4>4cf348m57?l1:p6`?=839p1?k6:0f6?84bj3<o70<ib;4g?xu5mh0;6>u22dc95a3<5;o26<kn;<0ee?7d92wx>hl50;1x97ce28n>70<jd;4g?84ak3<o7p}=eb83>6}::li1=i;4=3ga>4cf348mn7?l1:p6`b=839p1?kk:0f6?84bn3<o70<id;4g?xu5ml0;6>u22dg95a3<5;oo6<kn;<0eg?7d92wx>hh50;1x97ca28n>70<i1;4g?84am3<o7p}=f183>6}::o:1=i;4=3ge>4cf348mh7?l1:p6c7=839p1?h>:0f6?84a;3<o70<if;4g?xu5n;0;6>u22g095a3<5;l:6<kn;<0ea?7d92wx>k=50;0x97`428n>70=?0;4g?xu5n=0;6>u22g695a3<5;l86<kn;<0eb?7d92wx>k;50;1x97c328oj70<i7;3`5>;5n?0:h85rs222>5<5s49;=7?k5:?045<6k81v>>=:181856>3==70<i7;3g1>{t;991<7<t=234>20<5;l36<j:;|q041<72;q6?<65779>6c?=9m?0q~=?5;296~;4900<:63=f`82`0=z{::=6=4={<12e?11348mn7?k5:p751=838p1>?m:64897`d28n>7p}<0983>7}:;8i1;;522gf95a3<uz9;57>52z?05a<0>279jh4>d49~w66f2909w0=>e;55?84an3;o96s|31`94?4|5:;m6:84=223>4b23ty8<n4?:7y>77g=??16?<851ea897122>=01?9i:006?84a>3;9863=d98260=z{::o6=49{<11f?11349:;7?kc:?133<0?279h54>279>6`0=9;?01?6?:006?xu48l0;6;u233a933=:;821=im4=354>21<5;o36<<:;<0;5?75=279h44>249~w66a290=w0==d;55?85613;oo63=79843>;5l00:>;522dc9573<5;296<<:;|q055<72?q6??k5779>74g=9mi01?96:65897cd288>70<73;311>;5lh0:>85rs232>5<1s499j799;<12f?7ck279;l487:?1`d<6:?16>hk5137897>3288>7p}<1383>3}:;::1;;5230a95ae<5;=i6:94=3d3>442348397?=5:?1`g<6:<1v>?<:18585493==70=>d;3gg>;5?j0<;63=dc8263=::o81=?;4=3:5>4423ty8=94?:7y>764=??16?<k51ea8971c2>=01?h;:006?84??3;9963=db8260=z{:;>6=4:{<107?11349:j7?kc:?1`f<6:?16?=?51378971b288>7p}<2183>=}:;;k1=im4=3a6>44?348:47?=8:?140<6:116?>:5289>762=;016?>;5289>763=;01v><>:18;855j3;oo63=c48263=::821=?84=326>441349887<n;<100?5f349897<n;<101?5f3ty8>?4?:9y>77e=9mi01?m9:00;?84613;9463=07826==:;:>1>o5232697g=:;:?1>o5232797g=z{:886=47{<11`?7ck279o;4>279>64?=9;<01?>9:005?854<38h70=<4;1`?854=38h70=<5;1`?xu4:=0;65u233g95ae<5;i<6<<7;<02e?750279<:4>299>762=:m16?>:53e9>763=:m16?>;53e9~w6422903w0==f;3gg>;5k>0:>;5220c9570<5;:<6<<9;<100?7b349887<i;<101?7b349897<i;|q063<721q6?>>51ea897e?288370<>b;31<>;5810:>55232695c=:;:>1?=5232795c=:;:?1?=5rs204>5<?s498=7?kc:?1g=<6:?16><l51348976?288=70=<4;03?854<39:70=<5;03?854=39:7p}<2983>=}:;:81=im4=3a:>44?348:o7?=8:?14<<6:116?>:5209>762=;;16?>;5209>763=;;1v><6:18;854;3;oo63=c88263=::8i1=?84=32:>441349887<=;<100?53349897<=;<101?533ty8?;4?:4y>761=9m?01>=;:036?854<3;:863<348250=:;:?1=<:4}r10<?6=9?q6>;o56c9>63d=>k16>;m56c9>63b=>k16>;k56c9>63`=>k16>:>56c9>627=>k16>:<56c9>625=>k16>8956c9>600=>k16>8o56c9>60>=>k16>8756c9>60b=>k16>8l56c9>60e=>k16>8k56c9>60`=>k16>o:5779>675=9m?0q~=<9;296d}:;;k1:o5233`92g=:;;i1:o5233f92g=:;;o1:o5233d92g=:;::1:o5232392g=:;:81:o5232192g=:;8=1:o5230492g=:;8k1:o5230:92g=:;831:o5230f92g=:;8h1:o5230a92g=:;8o1:o5230d92g=::k?1;;522d195a3<5:9?6>;;;<100?52=278?94<579>762=;<201>=;:26e?854<39><63<358014=:;:>1?8=4=217>474349887?>2:?070<4==16?>;5347896522:?=70=<5;16<>;4;<088k523279706<5:9>6>;>;<101?52;278?84>129>763=9880q~=<a;297~;4;h0:hn5232`933=:;:o1=?;4}r10f?6=;r78?l49b:?07g<6lj16?>m5779~w65d2909w0=<c;3gg>;4;l0:>;5rs21g>5<4s49?=7?kb:?007<6lk16?>k51e78yv54n3:1:v3<4082`f=:;:>1=k;4=217>4`3349897?i5:?070<6n=16?>951348yv5383:1=:u220d95ad<5;896<jm;<176?7ck279:l482:?12g<0:279:n482:?12a<0:279:h482:?12c<0:279;=482:?134<0:279;?482:?136<0:2799:482:?113<6lk16>8o5739>60>=?;16>875739>60b=?;16>8l5739>60e=?;16>8k5739>60`=?;1v>:<:180853<3==70=;3;3gg>;4<>0:>85rs267>5<4s49?87?kc:?006<1j27888486:p713=838p1>:::0f`?853?3;9:6s|35494?5|5:>j6<jm;<17f?7cj2788:4>d49~w62?290?w0<j2;51?84b93=970=;a;3gg>;5j90<>6s|35;94?46s49?n7?kc:?06d<0:278>o482:?06f<0:278>i482:?06`<0:278>k482:?075<0:278?<482:?077<0:278?>482:?052<0:278=;4>dc9>74g=?;16?<65739>74?=?;16?<j5739>74d=?;16?<m5739>74c=?;16?<h5739>6g3=?;16>o:5739>6<e=?;16>4k5739>6<`=?;16>l>5739>6d7=?;16>l<5739>6d5=?;16>l:5739>6d3=?;16>4j5739~w61f290>8vP<7`9>64`=>;16>?<5639>6`4=>;16>h?5639>71g=>;16?9l5639>712=>;16?9=5639>713=>;16?9?5639>714=>;16?>o5639>76d=>;16?>m5639>63g=>;16>;l5639>63e=>;16>;j5639>63c=>;16>;h5639>626=>;16>:?5639>624=>;16>:=5639>601=>;16>885639>60g=>;16>865639>60?=>;16>8j5639>60d=>;16>8m5639>60c=>;16>8h5639>77g=>;16??l5639>77e=>;16??j5639>77c=>;16??h5639>766=>;16?>?5639>764=>;16?>=5639>741=>;16?<85639>74g=>;16?<65639>74?=>;16?<j5639>74d=>;16?<m5639>74c=>;16?<h5639>6g3=>;16>o:5639>6<e=>;16>4k5639>6<`=>;16>l>5639>6d7=>;16>l<5639>6d5=>;16>l:5639>6d3=>;16>4j5639>6g6=>;16?>:56g9>762=>l16?>:5709>762=?916?>:51d58965328o=70=<4;3f=>;4;=0:i55232792c=:;:?1:h52327934=:;:?1;=5232795`1<5:9>6<k9;<101?7b1278?84>e99~w61b2909wS=8e:?071<002wx?:h50;0xZ61a34988777;|q0<5<72;qU?5>4=217>2?<uz93=7>52z\0<4=:;:>1;l5rs2:1>5<5sW93>63<3584f>{t;191<7<t^2:0?854<3=h7p}<8583>7}Y;1>01>=;:6f8yv5?=3:1>vP<849>762=?l1v>69:181[5?>278?94mb:p7=1=838pR>68;<100?1a3ty8454?:3y]7=><5:9?65>4}r1;=?6=:rT844523269<6=z{:2j6=4={_1;e>;4;=03=6s|39`94?4|V:2i70=<4;:1?xu40j0;6?uQ39a8965321>0q~=7d;296~X40m16?>:5849~w6>b2909wS=7e:?071<?>2wx?5h50;0xZ6>a34988768;|q0=5<72;qU?4>4=217>ge<uz92=7>52z\0=4=:;:>1455rs2;1>5<5sW92>63<358;=>{t;091<7<t^2;0?854<32j7p}<9583>7}Y;0>01>=;:9g8yv5>=3:1>vP<949>762=0k1v>79:181[5>>278?947c:p7<1=838pR>78;<100?>c3ty8554?:3y]7<><5:9?65h4}r1:=?6=:rT854523269=4=z{:3j6=4={_1:e>;4;=0ii6s|38`94?4|V:3i70=<5;5;?xu41j0;6?uQ38a896522190q~=6d;296~X41m16?>;58d9~w6?b2909wS=6e:?070<>:2wx?4h50;0xZ6?a3498877=;|q0e5<72;qU?l>4=216><5<uz9j=7>52z\0e4=:;:?1595rs2c1>5<5sW9j>63<348:1>{t;h91<7<t^2c0?854=33<7p}<a583>7}Y;h>01>=::8:8yv5f=3:1>vP<a49>763=?01v>o9:181[5f>278?848a:p7d1=838pR>o8;<101?1e3ty8m54?:3y]7d><5:9>6:m4}r1b=?6=:rT8m45232793a=z{:kj6=4={_1be>;4;=02?6s|3``94?4|V:ki70=<5;5e?xu4ij0;6?uQ3`a8965221:0q~=nd;296~X4im16?>;5809~w6gb2909wS=ne:?070<?:2wx?lh50;0xZ6ga3498976;;|q0f5<72;qU?o>4=216>=3<uz9i=7>52z\0f4=:;:?14;5rs2`1>5<5sW9i>63<348;<>{t;k91<7<t^2`0?854=3227p}<b583>7}Y;k>01>=::9c8yv5e=3:1>vP<b49>762=1=1v>l9:181[5e>278?847b:p7g1=838pR>l8;<101?>d3ty8n54?:3y]7g><5:9>65j4}r1ae?6=:rT8nl523279<c=z{:hi6=4={_1af>;4;=0296s|3ca94?4|V:hh70=<4;;5?xu4jm0;6?uQ3cf896532kk0q~=me;296~X4jl16?>:5969~w6da2909wS=mf:?071<b>2wx?n>50;0xZ6e7349887??6:p7f7=838pR>m>;<100?c03ty8o?4?:3y]7f4<5:9?6h64}r1`7?6=:rT8o>523269a<=z{:i?6=4={_1`0>;4;=0nm6s|3b794?4|V:i>70=<4;ga?xu4k?0;6?uQ3b4896532li0q~=l7;296~X4k>16?>:511g8yv5d03:1>vP<c99>762=mm1v>m6:181[5d1278?94je:p7fg=838pR>mn;<100?`63ty8oo4?:3y]7fd<5:9?6hh4}r1`g?6=:rT8on523269b5=z{:io6=4={_1``>;4;=0m>6s|3bg94?4|V:in70=<4;d0?xu4ko0;6?uQ3bd896532o>0q~=k0;296~X4l916?>:5f49~w6b62909wS=k1:?071<68o1v>j=:181[5c:278?94i6:p7a5=838pR>j<;<100?`03ty8h94?:3y]7a2<5:9?6k64}r1g1?6=:rT8h8523269bf=z{:n=6=4={_1g2>;4;=0m56s|3e594?4|V:n<70=<4;db?xu4l10;6?uQ3e:896532oh0q~=k9;296~X4l016?>:5fe9~w6bf2909wS=ka:?071<an2wx?il50;0xZ6be349887?>1:p7ae=838pR>jl;<101?c13ty8hi4?:3y]7ab<5:9>6k?4}r1ga?6=:rT8hh523279bf=z{:nm6=4={_1gb>;4;<0:<=5rs2g3>5<5sW9n<63<358245=z{:o:6=4={_1f5>;4;<0:<<5rs2g1>5<5sW9n>63<348247=z{:o86=4={_1f7>;4;<0:<>5rs2g7>5<5sW9n863<348240=z{:o>6=4={_1f1>;4;<0:<;5rs2g5>5<5sW9n:63<348f3>{t;l=1<7<t^2g4?854=3o37p}<e983>7}Y;l201>=::d;8yv5b13:1>vP<e89>763=mh1v>kn:181[5bi278?84jb:p7`d=838pR>km;<100?7792wx?hm50;0xZ6cd349897kk;|q0aa<72;qU?hj4=216>`c<uz9ni7>52z\0a`=:;:?1ik5rs2ge>5<5sW9nj63<348e4>{t;o:1<7<t^2d3?854=3l97p}<f083>7}Y;o;01>=::g18yv5a:3:1>vP<f39>763=n=1v>h<:181[5a;278?84i6:p7c2=838pR>h;;<101?`03ty8j84?:3y]7c3<5:9>6k64}r1e2?6=:rT8j;523269554<uz9m;7>52z\0b2=:;:?1j45rs2d;>5<5sW9m463<348ee>{t;o31<7<t^2d:?854=3li7p}<fc83>7}Y;oh01>=::gf8yv5ak3:1>vP<fb9>762=9990q~=id;296~X4nm16?>:51168yv5am3:1>vP<fd9>762=99n0q~=if;296~X4no16?>:51178yv27>3:1:vP;079>675=9;?01?k?:005?854?3;9963=b78260=::;;1=?;4}r633?6=;rT?<:5232c95ad<5:>86<jm;|q74=<72<qU8=64=3g0>442348i:7?=6:?164<6:?16>h>51378yxh11<0;6<uG35a8yk0>>3:1=vF<4b9~j3?0290:wE=;c:m2<>=83;pD>:l;|l5=<<728qC?9m4}o4:e?6=9rB88n5rn7;a>5<6sA9?o6sa68a94?7|@:>h7p`99e83>4}O;=i0qc86e;295~N4<j1vb;7i:182M53k2we:l>50;3xL62d3td=m<4?:0yK71e<ug<j>7>51zJ00f=zf?k86=4>{I17g>{i>h>1<7?tH26`?xh1i<0;6<uG35a8yk0f>3:1=vF<4b9~j3g0290:wE=;c:m2d>=83;pD>:l;|l5e<<728qC?9m4}o4be?6=9rB88n5rn7ca>5<6sA9?o6sa6`a94?7|@:>h7p`9ae83>4}O;=i0qc8ne;295~N4<j1vb;oi:182M53k2we:o>50;3xL62d3td=n<4?:0yK71e<ug<i>7>51zJ00f=zf?h86=4>{I17g>{i>k>1<7?tH26`?xh1j<0;6<uG35a8yk0e>3:1=vF<4b9~j3d0290:wE=;c:m2g>=83;pD>:l;|l5f<<728qC?9m4}o4ae?6=9rB88n5rn7`a>5<6sA9?o6sa6ca94?7|@:>h7p`9be83>4}O;=i0qc8me;295~N4<j1vb;li:182M53k2we:n>50;3xL62d3td=o<4?:0yK71e<ug<h>7>51zJ00f=zf?i86=4>{I17g>{i>j>1<7?tH26`?xh1k<0;6<uG35a8yk0d>3:1=vF<4b9~j3e0290:wE=;c:m2f>=83;pD>:l;|l5g<<728qC?9m4}o4`e?6=9rB88n5rn7aa>5<6sA9?o6sa6ba94?7|@:>h7p`9ce83>4}O;=i0qc8le;295~N4<j1vb;mi:182M53k2we:i>50;3xL62d3td=h<4?:0yK71e<ug<o>7>51zJ00f=zf?n86=4>{I17g>{i>m>1<7?tH26`?xh1l<0;6<uG35a8yk0c>3:1=vF<4b9~j3b0290:wE=;c:m2a>=83;pD>:l;|l5`<<728qC?9m4}o4ge?6=9rB88n5rn7fa>5<6sA9?o6sa6ea94?7|@:>h7p`9de83>4}O;=i0qc8ke;295~N4<j1vb;ji:182M53k2we:h>50;3xL62d3td=i<4?:0yK71e<ug<n>7>51zJ00f=zf?o86=4>{I17g>{i>l>1<7?tH26`?xh1m<0;6<uG35a8yk0b>3:1=vF<4b9~j3c0290:wE=;c:m2`>=83;pD>:l;|l5a<<728qC?9m4}o4fe?6=9rB88n5rn7ga>5<6sA9?o6sa6da94?7|@:>h7p`9ee83>4}O;=i0qc8je;295~N4<j1vb;ki:182M53k2we:k>50;3xL62d3td=j<4?:0yK71e<ug<m>7>51zJ00f=zf?l86=4>{I17g>{i>o>1<7?tH26`?xh1n<0;6<uG35a8yk0a>3:1=vF<4b9~j3`0290:wE=;c:m2c>=83;pD>:l;|l5b<<728qC?9m4}o4ee?6=9rB88n5rn7da>5<6sA9?o6sa6ga94?7|@:>h7p`9fe83>4}O;=i0qc8ie;295~N4<j1vb;hi:182M53k2we;=>50;3xL62d3td<<<4?:0yK71e<ug=;>7>51zJ00f=zf>:86=4>{I17g>{i?9>1<7?tH26`?xh08<0;6<uG35a8yk17>3:1=vF<4b9~j260290:wE=;c:m35>=83;pD>:l;|l44<<728qC?9m4}o53e?6=9rB88n5rn62a>5<6sA9?o6sa71a94?7|@:>h7p`80e83>4}O;=i0qc9?e;295~N4<j1vb:>i:182M53k2we;<>50;3xL62d3td<=<4?:0yK71e<ug=:>7>51zJ00f=zf>;86=4>{I17g>{i?8>1<7?tH26`?xh09<0;6<uG35a8yk16>3:1=vF<4b9~j270290:wE=;c:m34>=83;pD>:l;|l45<<728qC?9m4}o52e?6=9rB88n5rn63a>5<6sA9?o6sa70a94?7|@:>h7p`81e83>4}O;=i0qc9>e;295~N4<j1vb:?i:182M53k2we;?>50;3xL62d3td<><4?:0yK71e<ug=9>7>51zJ00f=zf>886=4>{I17g>{i?;>1<7?tH26`?xh0:<0;6<uG35a8yk15>3:1=vF<4b9~j240290:wE=;c:m37>=83;pD>:l;|l46<<728qC?9m4}o51e?6=9rB88n5rn60a>5<6sA9?o6sa73a94?7|@:>h7p`82e83>4}O;=i0qc9=e;295~N4<j1vb:<i:182M53k2we;>>50;3xL62d3td<?<4?:0yK71e<ug=8>7>51zJ00f=zf>986=4>{I17g>{i?:>1<7?tH26`?xh0;<0;6<uG35a8yk14>3:1=vF<4b9~j250290:wE=;c:m36>=83;pD>:l;|l47<<728qC?9m4}o50e?6=9rB88n5rn61a>5<6sA9?o6sa72a94?7|@:>h7p`83e83>4}O;=i0qc9<e;295~N4<j1vb:=i:182M53k2we;9>50;3xL62d3td<8<4?:0yK71e<ug=?>7>51zJ00f=zf>>86=4>{I17g>{i?=>1<7?tH26`?xh0<<0;6<uG35a8yk13>3:1=vF<4b9~j220290:wE=;c:m31>=83;pD>:l;|l40<<728qC?9m4}o57e?6=9rB88n5rn66a>5<6sA9?o6sa75a94?7|@:>h7p`84e83>4}O;=i0qc9;e;295~N4<j1vb::i:182M53k2we;8>50;3xL62d3td<9<4?:0yK71e<ug=>>7>51zJ00f=zf>?86=4>{I17g>{i?<>1<7?tH26`?xh0=<0;6<uG35a8yk12>3:1=vF<4b9~j230290:wE=;c:m30>=83;pD>:l;|l41<<728qC?9m4}o56e?6=9rB88n5rn67a>5<6sA9?o6sa74a94?7|@:>h7p`85e83>4}O;=i0qc9:e;295~N4<j1vb:;i:182M53k2we;;>50;3xL62d3td<:<4?:0yK71e<ug==>7>51zJ00f=zf><86=4>{I17g>{i??>1<7?tH26`?xh0><0;6<uG35a8yk11>3:1=vF<4b9~j200290:wE=;c:m33>=83;pD>:l;|l42<<728qC?9m4}o55e?6=9rB88n5rn64a>5<6sA9?o6sa77a94?7|@:>h7p`86e83>4}O;=i0qc99e;295~N4<j1vb:8i:182M53k2we;:>50;3xL62d3td<;<4?:0yK71e<ug=<>7>51zJ00f=zf>=86=4>{I17g>{i?>>1<7?tH26`?xh0?<0;6<uG35a8yk10>3:1=vF<4b9~j210290:wE=;c:m32>=83;pD>:l;|l43<<728qC?9m4}o54e?6=9rB88n5rn65a>5<6sA9?o6sa76a94?7|@:>h7p`87e83>4}O;=i0qc98e;295~N4<j1vb:9i:182M53k2we;5>50;3xL62d3td<4<4?:0yK71e<ug=3>7>51zJ00f=zf>286=4>{I17g>{i?1>1<7?tH26`?xh00<0;6<uG35a8yk1?>3:1=vF<4b9~j2>0290:wE=;c:m3=>=83;pD>:l;|l4<<<728qC?9m4}o5;e?6=9rB88n5rn6:a>5<6sA9?o6sa79a94?7|@:>h7p`88e83>4}O;=i0qc97e;295~N4<j1vb:6i:182M53k2we;4>50;3xL62d3td<5<4?:0yK71e<ug=2>7>51zJ00f=zf>386=4>{I17g>{i?0>1<7?tH26`?xh01<0;6<uG35a8yk1>>3:1=vF<4b9~j2?0290:wE=;c:m3<>=83;pD>:l;|l4=<<728qC?9m4}o5:e?6=9rB88n5rn6;a>5<6sA9?o6sa78a94?7|@:>h7p`89e83>4}O;=i0qc96e;295~N4<j1vb:7i:182M53k2we;l>50;3xL62d3td<m<4?:0yK71e<ug=j>7>51zJ00f=zf>k86=4>{I17g>{i?h>1<7?tH26`?xh0i<0;6<uG35a8yk1f>3:1=vF<4b9~j2g0290:wE=;c:m3d>=83;pD>:l;|l4e<<728qC?9m4}o5be?6=9rB88n5rn6ca>5<6sA9?o6sa7`a94?7|@:>h7p`8ae83>4}O;=i0qc9ne;295~N4<j1vb:oi:182M53k2we;o>50;3xL62d3td<n<4?:0yK71e<ug=i>7>51zJ00f=zf>h86=4>{I17g>{i?k>1<7?tH26`?xh0j<0;6<uG35a8yk1e>3:1=vF<4b9~j2d0290:wE=;c:m3g>=83;pD>:l;|l4f<<728qC?9m4}o5ae?6=9rB88n5rn6`a>5<6sA9?o6sa7ca94?7|@:>h7p`8be83>4}O;=i0qc9me;295~N4<j1vb:li:182M53k2we;n>50;3xL62d3td<o<4?:0yK71e<ug=h>7>51zJ00f=zf>i86=4>{I17g>{i?j>1<7?tH26`?xh0k<0;6<uG35a8yk1d>3:1=vF<4b9~j2e0290:wE=;c:m3f>=83;pD>:l;|l4g<<728qC?9m4}o5`e?6=9rB88n5rn6aa>5<6sA9?o6sa7ba94?7|@:>h7p`8ce83>4}O;=i0qc9le;295~N4<j1vb:mi:182M53k2we;i>50;3xL62d3td<h<4?:0yK71e<ug=o>7>51zJ00f=zf>n86=4>{I17g>{i?m>1<7?tH26`?xh0l<0;6<uG35a8yk1c>3:1=vF<4b9~j2b0290:wE=;c:m3a>=83;pD>:l;|l4`<<728qC?9m4}o5ge?6=9rB88n5rn6fa>5<6sA9?o6sa7ea94?7|@:>h7p`8de83>4}O;=i0qc9ke;295~N4<j1vb:ji:182M53k2we;h>50;3xL62d3td<i<4?:0yK71e<ug=n>7>51zJ00f=zf>o86=4>{I17g>{i?l>1<7?tH26`?xh0m<0;6<uG35a8yk1b>3:1=vF<4b9~j2c0290:wE=;c:m3`>=83;pD>:l;|~yEFDs09o6>9=7ba12xFGJr:vLM^t}AB
/pcie_ds_dma/trunk/core/wishbone/coregen/ctrl_fifo1024x64_st_v1.xco
1,7 → 1,7
##############################################################
#
# Xilinx Core Generator version 13.2
# Date: Wed Oct 19 12:03:35 2011
# Xilinx Core Generator version 14.5
# Date: Sat Apr 20 16:47:20 2013
#
##############################################################
#
12,7 → 12,7
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:8.2
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
22,21 → 22,21
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET device = xc5vsx50t
SET devicefamily = virtex5
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET package = ff1136
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET simulationfiles = Behavioral
SET speedgrade = -1
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.2
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
54,7 → 54,7
CSET component_name=ctrl_fifo1024x64_st_v1
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET disable_timing_violations=true
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
83,12 → 83,6
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
157,19 → 151,19
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
184,6 → 178,8
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
211,7 → 207,7
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-14T07:12:32.000Z
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: 738a134d
# CRC: d5d34c45
/pcie_ds_dma/trunk/core/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd
1,3981 → 1,281
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: O.61xd
-- \ \ Application: netgen
-- / / Filename: ctrl_fifo1024x64_st_v1.vhd
-- /___/ /\ Timestamp: Wed Oct 19 15:05:44 2011
-- \ \ / \
-- \___\/\___\
--
-- Command : -w -sim -ofmt vhdl D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.ngc D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.vhd
-- Device : 6slx45tfgg484-3
-- Input file : D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.ngc
-- Output file : D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.vhd
-- # of Entities : 1
-- Design Name : ctrl_fifo1024x64_st_v1
-- Xilinx : C:\Xilinx\13.2\ISE_DS\ISE\
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
 
 
-- synthesis translate_off
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;
 
entity ctrl_fifo1024x64_st_v1 is
port (
clk : in STD_LOGIC := 'X';
rst : in STD_LOGIC := 'X';
wr_en : in STD_LOGIC := 'X';
rd_en : in STD_LOGIC := 'X';
full : out STD_LOGIC;
empty : out STD_LOGIC;
prog_full : out STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 63 downto 0 );
dout : out STD_LOGIC_VECTOR ( 63 downto 0 )
);
end ctrl_fifo1024x64_st_v1;
 
architecture STRUCTURE of ctrl_fifo1024x64_st_v1 is
signal N0 : STD_LOGIC;
signal N1 : STD_LOGIC;
signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_7 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_193 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81_232 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT103 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_8_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_9_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q_243 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q_244 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1_263 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_8_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_9_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_10_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_284 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_285 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_8_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_9_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv : STD_LOGIC;
signal N01 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot_298 : STD_LOGIC;
signal N2 : STD_LOGIC;
signal N4 : STD_LOGIC;
signal N6 : STD_LOGIC;
signal N8 : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_5_UNCONNECTED : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1 : STD_LOGIC_VECTOR ( 9 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count : STD_LOGIC_VECTOR ( 9 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1 : STD_LOGIC_VECTOR ( 0 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count : STD_LOGIC_VECTOR ( 9 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad : STD_LOGIC_VECTOR ( 10 downto 1 );
begin
full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_4;
empty <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_7;
prog_full <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i;
XST_VCC : VCC
port map (
P => N0
);
XST_GND : GND
port map (
G => N1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_7
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_193
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDPE
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194,
D => N1,
PRE => rst,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_1 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_9_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_8_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_0 : FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_10_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q_244,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q_243
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_10_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_9_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_9_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q_244
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_8_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_8_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_7_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_6_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_5_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_4_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_3_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_2_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_1_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q :
MUXCY
port map (
CI => N1,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1_263,
S => N1,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_10_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(10)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_9_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_8_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_284
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_285
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_9_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_8_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_ram_wr_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en1 : LUT3
generic map(
INIT => X"F4"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_Mmux_ram_full_comb_GND_294_o_MUX_16_o11 : LUT6
generic map(
INIT => X"FA32FAF2F030F0F0"
)
port map (
I0 => wr_en,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_Mmux_going_empty_PWR_39_o_MUX_14_o11 : LUT6
generic map(
INIT => X"F3A2FFA2F300FF00"
)
port map (
I0 => rd_en,
I1 => wr_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_193,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_4_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_2_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_4_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_4_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_4_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_3_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_2_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT811 : LUT5
generic map(
INIT => X"FFFF7FFF"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81_232
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT1031 : LUT5
generic map(
INIT => X"00008000"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT103
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT71 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT411 : LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT101 : LUT5
generic map(
INIT => X"EAAA6A2A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT103,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81_232,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_9_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT71 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_9_PWR_45_o_equal_8_o_9_SW0 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(9),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(5),
O => N01
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot_298,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT81 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT411 : LUT3
generic map(
INIT => X"F7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT91_SW0 : LUT2
generic map(
INIT => X"7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
O => N2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT91 : LUT6
generic map(
INIT => X"AAAAAAAAAAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
I5 => N2,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_8_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT101_SW0 : LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
O => N4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT101 : LUT6
generic map(
INIT => X"AAAAAAAAAAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
I5 => N4,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_9_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_9_PWR_45_o_equal_8_o_9_SW1 : LUT5
generic map(
INIT => X"AAAA8EAA"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_285,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_284,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(10),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1),
O => N6
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot : LUT6
generic map(
INIT => X"AAAAAAABAAAAAAA8"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i,
I1 => N01,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(7),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(6),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2),
I5 => N6,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot_298
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q_243
 
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1 : LUT4
generic map(
INIT => X"4044"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
I1 => wr_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I3 => rd_en,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1_263
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT61 : LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT42 : LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT51 : LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT61 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT42 : LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT51 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT91_F : LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
O => N8
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT11_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_wr_pntr_0_inv1_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT911 : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => N8,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_8_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => din(17),
DIPA(0) => din(8),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) => dout(17),
DOPB(0) => dout(8),
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) => dout(16),
DOB(14) => dout(15),
DOB(13) => dout(14),
DOB(12) => dout(13),
DOB(11) => dout(12),
DOB(10) => dout(11),
DOB(9) => dout(10),
DOB(8) => dout(9),
DOB(7) => dout(7),
DOB(6) => dout(6),
DOB(5) => dout(5),
DOB(4) => dout(4),
DOB(3) => dout(3),
DOB(2) => dout(2),
DOB(1) => dout(1),
DOB(0) => dout(0),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => din(16),
DIA(14) => din(15),
DIA(13) => din(14),
DIA(12) => din(13),
DIA(11) => din(12),
DIA(10) => din(11),
DIA(9) => din(10),
DIA(8) => din(9),
DIA(7) => din(7),
DIA(6) => din(6),
DIA(5) => din(5),
DIA(4) => din(4),
DIA(3) => din(3),
DIA(2) => din(2),
DIA(1) => din(1),
DIA(0) => din(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => din(35),
DIPA(0) => din(26),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) => dout(35),
DOPB(0) => dout(26),
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) => dout(34),
DOB(14) => dout(33),
DOB(13) => dout(32),
DOB(12) => dout(31),
DOB(11) => dout(30),
DOB(10) => dout(29),
DOB(9) => dout(28),
DOB(8) => dout(27),
DOB(7) => dout(25),
DOB(6) => dout(24),
DOB(5) => dout(23),
DOB(4) => dout(22),
DOB(3) => dout(21),
DOB(2) => dout(20),
DOB(1) => dout(19),
DOB(0) => dout(18),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => din(34),
DIA(14) => din(33),
DIA(13) => din(32),
DIA(12) => din(31),
DIA(11) => din(30),
DIA(10) => din(29),
DIA(9) => din(28),
DIA(8) => din(27),
DIA(7) => din(25),
DIA(6) => din(24),
DIA(5) => din(23),
DIA(4) => din(22),
DIA(3) => din(21),
DIA(2) => din(20),
DIA(1) => din(19),
DIA(0) => din(18)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => din(53),
DIPA(0) => din(44),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) => dout(53),
DOPB(0) => dout(44),
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) => dout(52),
DOB(14) => dout(51),
DOB(13) => dout(50),
DOB(12) => dout(49),
DOB(11) => dout(48),
DOB(10) => dout(47),
DOB(9) => dout(46),
DOB(8) => dout(45),
DOB(7) => dout(43),
DOB(6) => dout(42),
DOB(5) => dout(41),
DOB(4) => dout(40),
DOB(3) => dout(39),
DOB(2) => dout(38),
DOB(1) => dout(37),
DOB(0) => dout(36),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => din(52),
DIA(14) => din(51),
DIA(13) => din(50),
DIA(12) => din(49),
DIA(11) => din(48),
DIA(10) => din(47),
DIA(9) => din(46),
DIA(8) => din(45),
DIA(7) => din(43),
DIA(6) => din(42),
DIA(5) => din(41),
DIA(4) => din(40),
DIA(3) => din(39),
DIA(2) => din(38),
DIA(1) => din(37),
DIA(0) => din(36)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => N1,
DIPA(0) => N1,
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED
,
DOPB(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED
,
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED
,
DOB(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_14_UNCONNECTED
,
DOB(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_13_UNCONNECTED
,
DOB(12) => dout(63),
DOB(11) => dout(62),
DOB(10) => dout(61),
DOB(9) => dout(60),
DOB(8) => dout(59),
DOB(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED
,
DOB(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_6_UNCONNECTED
,
DOB(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_5_UNCONNECTED
,
DOB(4) => dout(58),
DOB(3) => dout(57),
DOB(2) => dout(56),
DOB(1) => dout(55),
DOB(0) => dout(54),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => N1,
DIA(14) => N1,
DIA(13) => N1,
DIA(12) => din(63),
DIA(11) => din(62),
DIA(10) => din(61),
DIA(9) => din(60),
DIA(8) => din(59),
DIA(7) => N1,
DIA(6) => N1,
DIA(5) => N1,
DIA(4) => din(58),
DIA(3) => din(57),
DIA(2) => din(56),
DIA(1) => din(55),
DIA(0) => din(54)
);
 
end STRUCTURE;
 
-- synthesis translate_on
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file ctrl_fifo1024x64_st_v1.vhd when simulating
-- the core, ctrl_fifo1024x64_st_v1. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ctrl_fifo1024x64_st_v1 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END ctrl_fifo1024x64_st_v1;
 
-- synthesis translate_off
ARCHITECTURE ctrl_fifo1024x64_st_v1_a OF ctrl_fifo1024x64_st_v1 IS
COMPONENT wrapped_ctrl_fifo1024x64_st_v1
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_ctrl_fifo1024x64_st_v1 USE ENTITY XilinxCoreLib.fifo_generator_v8_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 10,
c_default_value => "BlankString",
c_din_width => 64,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 64,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "virtex5",
c_full_flags_rst_val => 0,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 0,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 1,
c_preload_regs => 0,
c_prim_fifo_type => "1kx36",
c_prog_empty_thresh_assert_val => 2,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 512,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 511,
c_prog_full_type => 1,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 10,
c_rd_depth => 1024,
c_rd_freq => 1,
c_rd_pntr_width => 10,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
--c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 10,
c_wr_depth => 1024,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 10,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
BEGIN
U0 : wrapped_ctrl_fifo1024x64_st_v1
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
prog_full => prog_full
);
 
END ctrl_fifo1024x64_st_v1_a;
-- synthesis translate_on
/pcie_ds_dma/trunk/core/wishbone/block_test_check/block_check_wb_burst_slave.v
66,8 → 66,8
i_wbs_burst_cyc & i_wbs_burst_stb & i_wbs_burst_we & // WB Transfer strobes
iv_wbs_burst_sel==8'hFF & // WB_SEL point to 64bit transfer
iv_wbs_burst_bte==2'b00 ; // WB Burst Transfer type check (Linear Burst)
/*assign s_wb_transfer_master_hold = (iv_wbs_burst_addr==0) & // START from INIT ADDR
//FIX
assign s_wb_transfer_master_hold = (iv_wbs_burst_addr==0) & // START from INIT ADDR
i_wbs_burst_cyc & !i_wbs_burst_stb & i_wbs_burst_we & // WB Transfer strobes (MASTER STALL case)
iv_wbs_burst_sel==8'hFF & // WB_SEL point to 64bit transfer
iv_wbs_burst_bte==2'b00 ; // WB Burst Transfer type check (Linear Burst)*/
78,7 → 78,8
//
//
//
always @ (posedge i_clk or posedge i_rst)
//always @ (posedge i_clk or posedge i_rst)
always @ (posedge i_clk)
begin : TEST_CHECK_DATA_OUT
//
o_test_check_data_ena <= s_wb_transfer_ok_0;
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/console_test_adm_read_8kb.log
0,0 → 1,303
asim -noglitch -noglitchmsg +notimingchecks +no_tchk_msg -relax glbl -ieee_nowarn -O5 -L secureip -g test_id=2 +access +r +m+test_adm_read_8kb stend_ambpex5_wishbone stend_ambpex5_wishbone
# ELBREAD: Elaboration process.
# ELBREAD: Warning: Module 'pcie_top_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_clocking' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'bram_common' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_gt_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_gtx_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_decoder' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cor' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cnt_en' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ftl' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cpl' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ram4x26' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ram8x26' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_intr' does not have a `timescale directive, but previous modules do.
# ELBREAD: Elaboration time 2.5 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 1ps.
# ELAB2: Elaboration final pass...
# KERNEL: PLI/VHPI kernel's engine initialization done.
# PLI: Loading library 'C:\app\ahdl91\bin\systf.dll'
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: pcie_top.v (1450): Length of connection (32) does not match the length of port 'L0CFGDISABLESCRAMBLE' (1) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0/pcie_blk/pcie_ep'.
# SLP: Warning: pcie_blk_plus_ll_rx.v (241): Length of connection (32) does not match the length of port 'td_ecrc_trim_i' (1) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0/pcie_blk_if/ll_bridge/rx_bridge/snk_inst'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_daddr' (56) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_den' (8) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_dwen' (8) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_di' (128) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Elaboration phase ... done : 34.4 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 50.4 [s]
# SLP: Finished : 84.8 [s]
# SLP: 191138 (69.88%) primitives and 77590 (28.37%) other processes in SLP
# SLP: 402221 (93.66%) signals in SLP and 27192 (6.33%) interface signals
# ELAB2: Elaboration final pass complete - time: 96.0 [s].
# KERNEL: SLP loading done - time: 1.0 [s].
# KERNEL: SLP simulation initialization done - time: 1.0 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 245669 kB (elbread=47896 elab2=118682 kernel=79091 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_ambpex5_wishbone (stend_ambpex5_wishbone) glbl
run {350 us}
# KERNEL: ===== Number of BRAMS for RETRYRAM = 1 =====
# KERNEL: ===== Number of BRAMS for TL_TXRAM = 2 =====
# KERNEL: ===== Number of BRAMS for TL_RXRAM = 2 =====
# KERNEL: [ 1000 ns ] : Init start
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00U0 with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/dsc/gen_dsp48/dsp, Process: prcs_opmode_drc.
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/cmd/dma_adr/gen_dsp48/dsp1, Process: prcs_opmode_drc.
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/cmd/dma_adr/gen_dsp48/dsp0, Process: prcs_opmode_drc.
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: 23790000: Bad trailing TS characters (note)
# KERNEL: [ 85187.246 ns ] : Transaction Link is Up
# KERNEL: [ 85187.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 85187.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 133235.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 134883.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 135379.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 136531.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 137043.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 138179.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 138675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 139827.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 140339.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 141475.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 142035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 143123.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 143667.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 144771.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 145331.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 146419.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 146963.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 148627.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 167219.146 ns ] : BUS Master Enable
# KERNEL: [ 167219.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 167379.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 167539.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 172667.146 ns ] : Init complete
# KERNEL: [ 182995.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183059.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183123.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183251.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183315.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183379.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183443.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195315.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 195427.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195491.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195555.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199411.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199507.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199571.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199587.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199667.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199763.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199939.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200115.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200291.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200467.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200643.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200819.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 206099.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 206707.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 207283.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 207891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208467.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 209075.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 209651.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 210259.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 210867.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 211475.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 212051.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 212659.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 213235.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 213843.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 214419.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215027.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215603.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 215635.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 216243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 216819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 217427.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 218003.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 218675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219315.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219923.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 220499.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221107.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221683.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222291.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222867.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 223475.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224051.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224083.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224195.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 224755.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 225459.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226067.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226643.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 227315.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 227891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 228499.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 229075.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 229683.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 230259.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 230867.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 231443.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 232051.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 232627.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 233235.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 233267.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 233907.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 234371.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 234579.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 235155.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 235763.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 236339.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 236947.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 237587.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 238195.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 238771.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 239379.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 239955.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 240563.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 241139.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 241747.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 242323.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 242931.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 243507.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 244147.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 244179.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 244291.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 244355.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 246611.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 247219.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 249427.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 250035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 252243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 252851.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 255091.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 255699.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 350 us
endsim
# Simulation has been stopped
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/console_test_dsc_incorrect.log
0,0 → 1,222
asim -noglitch -noglitchmsg +notimingchecks +no_tchk_msg -relax glbl -ieee_nowarn -O5 -L secureip -g test_id=0 +access +r +m+test_dsc_incorrect stend_ambpex5_wishbone stend_ambpex5_wishbone
# Simulation has been stopped
# ELBREAD: Elaboration process.
# ELBREAD: Warning: Module 'pcie_top_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_clocking' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'bram_common' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_gt_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_gtx_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_decoder' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cor' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cnt_en' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ftl' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cpl' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ram4x26' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ram8x26' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_intr' does not have a `timescale directive, but previous modules do.
# ELBREAD: Elaboration time 2.4 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 1ps.
# ELAB2: Elaboration final pass...
# KERNEL: PLI/VHPI kernel's engine initialization done.
# PLI: Loading library 'C:\app\ahdl91\bin\systf.dll'
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: pcie_top.v (1450): Length of connection (32) does not match the length of port 'L0CFGDISABLESCRAMBLE' (1) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0/pcie_blk/pcie_ep'.
# SLP: Warning: pcie_blk_plus_ll_rx.v (241): Length of connection (32) does not match the length of port 'td_ecrc_trim_i' (1) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0/pcie_blk_if/ll_bridge/rx_bridge/snk_inst'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_daddr' (56) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_den' (8) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_dwen' (8) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_di' (128) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Elaboration phase ... done : 37.4 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 52.0 [s]
# SLP: Finished : 89.5 [s]
# SLP: 191138 (69.88%) primitives and 77590 (28.37%) other processes in SLP
# SLP: 402221 (93.66%) signals in SLP and 27192 (6.33%) interface signals
# ELAB2: Elaboration final pass complete - time: 100.4 [s].
# KERNEL: SLP loading done - time: 1.0 [s].
# KERNEL: SLP simulation initialization done - time: 1.1 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 245669 kB (elbread=47896 elab2=118682 kernel=79091 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_ambpex5_wishbone (stend_ambpex5_wishbone) glbl
run {300 us}
# KERNEL: ===== Number of BRAMS for RETRYRAM = 1 =====
# KERNEL: ===== Number of BRAMS for TL_TXRAM = 2 =====
# KERNEL: ===== Number of BRAMS for TL_RXRAM = 2 =====
# KERNEL: [ 1000 ns ] : Init start
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00U0 with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/dsc/gen_dsp48/dsp, Process: prcs_opmode_drc.
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/cmd/dma_adr/gen_dsp48/dsp1, Process: prcs_opmode_drc.
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/cmd/dma_adr/gen_dsp48/dsp0, Process: prcs_opmode_drc.
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: 23790000: Bad trailing TS characters (note)
# KERNEL: [ 85187.246 ns ] : Transaction Link is Up
# KERNEL: [ 85187.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 85187.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 133235.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 134883.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 135379.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 136531.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 137043.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 138179.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 138675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 139827.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 140339.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 141475.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 142035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 143123.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 143667.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 144771.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 145331.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 146419.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 146963.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 148627.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 167219.146 ns ] : BUS Master Enable
# KERNEL: [ 167219.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 167379.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 167539.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 172667.146 ns ] : Init complete
# KERNEL: [ 182899.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 182963.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183027.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183091.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183155.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183219.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 193459.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 193555.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 193619.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 193635.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 193715.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 193811.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 193987.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 194163.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 194339.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 194515.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 194691.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 194867.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 203283.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 205491.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 205603.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 300 us
endsim
# Simulation has been stopped
transcript to src/testbench/log/console_test_read_4kB.log
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/global_tc_summary.log
0,0 → 1,4
Global AMBPEX5_WISHBONE TC log:
test_dsc_incorrect PASSED
test_read_4kB PASSED
test_adm_read_8kb PASSED
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/file_id_0.log
0,0 → 1,7
TEST_DSC_INCORRECT
STATUS: A021 - Ok
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/file_id_1.log
0,0 → 1,34
TEST_READ_4KB
BLOCK TEST_GEN ID: 00000001
STATUS: A101 - descriptor is correct
STATUS: A121 - DMA finished
 
STATUS: A121
STATUS: A121
STATUS: A121
STATUS: A121
Read:
0 A5A50123
1 00000000
2 FFFFFFFF
3 FFFFFFFF
4 00000001
5 00000000
6 FFFFFFFE
7 FFFFFFFF
8 00000002
9 00000000
10 FFFFFFFD
11 FFFFFFFF
12 00000003
13 00000000
14 FFFFFFFC
15 FFFFFFFF
 
Test is correct
 
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/console_test_read_4kB.log
0,0 → 1,268
asim -noglitch -noglitchmsg +notimingchecks +no_tchk_msg -relax glbl -ieee_nowarn -O5 -L secureip -g test_id=1 +access +r +m+test_read_4kB stend_ambpex5_wishbone stend_ambpex5_wishbone
# ELBREAD: Elaboration process.
# ELBREAD: Warning: Module 'pcie_top_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_clocking' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'bram_common' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_gt_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'pcie_gtx_wrapper' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_decoder' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cor' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cnt_en' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ftl' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_cpl' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ram4x26' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_errman_ram8x26' does not have a `timescale directive, but previous modules do.
# ELBREAD: Warning: Module 'cmm_intr' does not have a `timescale directive, but previous modules do.
# ELBREAD: Elaboration time 2.6 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 1ps.
# ELAB2: Elaboration final pass...
# KERNEL: PLI/VHPI kernel's engine initialization done.
# PLI: Loading library 'C:\app\ahdl91\bin\systf.dll'
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1112): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1113): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1114): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1115): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (968): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (972): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (976): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (980): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1250): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1251): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1256): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1257): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1262): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1263): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1268): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1269): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1410): Indexes of part-select [39:32] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1411): Indexes of part-select [4:4] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1416): Indexes of part-select [47:40] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1417): Indexes of part-select [5:5] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1422): Indexes of part-select [55:48] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1423): Indexes of part-select [6:6] are out of range [3:0] of 'memp'.
# SLP: Warning: ARAMB36_INTERNAL.v (1428): Indexes of part-select [63:56] are out of range [31:0] of 'mem'.
# SLP: Warning: ARAMB36_INTERNAL.v (1429): Indexes of part-select [7:7] are out of range [3:0] of 'memp'.
# SLP: Warning: pcie_top.v (1450): Length of connection (32) does not match the length of port 'L0CFGDISABLESCRAMBLE' (1) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0/pcie_blk/pcie_ep'.
# SLP: Warning: pcie_blk_plus_ll_rx.v (241): Length of connection (32) does not match the length of port 'td_ecrc_trim_i' (1) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0/pcie_blk_if/ll_bridge/rx_bridge/snk_inst'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_daddr' (56) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_den' (8) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_dwen' (8) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Warning: ctrl_pcie_x8.v (273): Length of connection (32) does not match the length of port 'gt_di' (128) on instance '/stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/ep/pcie_ep0'.
# SLP: Elaboration phase ... done : 32.2 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 50.7 [s]
# SLP: Finished : 82.9 [s]
# SLP: 191138 (69.88%) primitives and 77590 (28.37%) other processes in SLP
# SLP: 402221 (93.66%) signals in SLP and 27192 (6.33%) interface signals
# ELAB2: Elaboration final pass complete - time: 96.0 [s].
# KERNEL: SLP loading done - time: 1.2 [s].
# KERNEL: SLP simulation initialization done - time: 1.1 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 245669 kB (elbread=47896 elab2=118682 kernel=79091 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_ambpex5_wishbone (stend_ambpex5_wishbone) glbl
run {300 us}
# KERNEL: ===== Number of BRAMS for RETRYRAM = 1 =====
# KERNEL: ===== Number of BRAMS for TL_TXRAM = 2 =====
# KERNEL: ===== Number of BRAMS for TL_RXRAM = 2 =====
# KERNEL: [ 1000 ns ] : Init start
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00U0 with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/dsc/gen_dsp48/dsp, Process: prcs_opmode_drc.
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/cmd/dma_adr/gen_dsp48/dsp1, Process: prcs_opmode_drc.
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL 00 to DSP48 instance is invalid.
# EXECUTION:: Time: 5030100 ps, Iteration: 12, Instance: /stend_ambpex5_wishbone/dut/WB_SOPC/PCIE_CORE64_WB/CORE/fifo/cmd/dma_adr/gen_dsp48/dsp0, Process: prcs_opmode_drc.
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: 23790000: Bad trailing TS characters (note)
# KERNEL: [ 85187.246 ns ] : Transaction Link is Up
# KERNEL: [ 85187.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 85187.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 133235.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 134883.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 135379.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 136531.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 137043.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 138179.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 138675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 139827.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 140339.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 141475.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 142035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 143123.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 143667.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 144771.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 145331.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 146419.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 146963.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 148627.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 167219.146 ns ] : BUS Master Enable
# KERNEL: [ 167219.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 167379.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 167539.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 172667.146 ns ] : Init complete
# KERNEL: [ 182947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183011.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185395.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 185507.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185571.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185635.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185699.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185763.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185827.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 185891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 186947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199379.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199475.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199539.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199555.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199635.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 199731.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199907.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200083.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200259.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200435.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200611.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 200787.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 205811.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 206419.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 206995.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 207011.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 207603.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208179.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208787.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 209363.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 210035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 210643.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 211251.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 211827.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 212435.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 213011.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 213619.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 214195.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 214803.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215411.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215443.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215555.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 216115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 216691.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 217299.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 217875.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 218547.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219795.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 220371.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 220979.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221555.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222163.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222739.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 223347.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 223923.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224531.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224563.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224675.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 226899.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 227507.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 229715.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 230323.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 232531.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 233139.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 235347.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 235955.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 300 us
endsim
# Simulation has been stopped
transcript to src/testbench/log/console_test_adm_read_8kb.log
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/log_example/file_id_2.log
0,0 → 1,53
TEST_ADM_READ_8KB
BLOCK TEST_GEN ID: 00000001
STATUS: A101 - descriptor is correct
STATUS: A191
STATUS: A1F1 - DMA finished
 
STATUS: A1F1
STATUS: A1F1
STATUS: A1F1
STATUS: A1F1
Block 0 - read:
0 A5A50123
1 00000000
2 FFFFFFFF
3 FFFFFFFF
4 00000001
5 00000000
6 FFFFFFFE
7 FFFFFFFF
8 00000002
9 00000000
10 FFFFFFFD
11 FFFFFFFF
12 00000003
13 00000000
14 FFFFFFFC
15 FFFFFFFF
 
Block 1 - read:
0 A5A50123
1 00000001
2 FFFFFEFF
3 FFFFFFFF
4 00000101
5 00000000
6 FFFFFEFE
7 FFFFFFFF
8 00000102
9 00000000
10 FFFFFEFD
11 FFFFFFFF
12 00000103
13 00000000
14 FFFFFEFC
15 FFFFFFFF
 
Test is correct
 
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/ambpex5_sx50t_wishbone/src/testbench/ahdl/run_ahdl.tcl
0,0 → 1,87
#
# AHDL regression script.
#
 
 
# ROOT/TC folders, etc:
#
set ROOT [pwd]
 
set FAIL_MSG "TEST finished with ERR"
set PASS_MSG "TEST finished successfully"
 
set glbl_log "src/testbench/log/global_tc_summary.log"
cd $dsn
 
set glbl_log_file [open $glbl_log w]
puts $glbl_log_file "Global AMBPEX5_WISHBONE TC log:"
close $glbl_log_file
 
#
# Procedure:
#
proc set_and_run {} {
set StdArithNoWarnings 1
set NumericStdNoWarnings 1
set BreakOnAssertion 2
 
run -all
 
quit -sim
}
 
proc parse_log { filename tc_name } {
set err_cnt 0
set openfile [open $filename r]
set ret 0
while {[gets $openfile buffer] >= 0} {
set ret [string first $::PASS_MSG $buffer 1]
#echo $ret
if { $ret>0 } {
incr err_cnt
}
}
if {$err_cnt>0} {return "$tc_name PASSED"} else {return "$tc_name FAILED"}
close $openfile
}
proc run_test { tc_name tc_id tc_time } {
set log_name "src/testbench/log/console_"
set log_name $log_name$tc_name.log
#set log_test "src\\testbench\\log\\file_"
#set log_test $log_test$tc_name.log
transcript to $log_name
asim -noglitch -noglitchmsg +notimingchecks +no_tchk_msg -relax glbl -ieee_nowarn -O5 -L secureip -g test_id=$tc_id +access +r +m+$tc_name stend_ambpex5_wishbone stend_ambpex5_wishbone
#asim -ieee_nowarn -g test_id=$tc_id -g test_log=$log_test +access +r +m+$tc_name stend_sp605_wishbone stend_sp605_wishbone
run $tc_time
endsim;
set glog_file [open $::glbl_log a]
puts $glog_file [parse_log $log_name $tc_name ]
close $glog_file
}
#
# Main BODY:
#
 
#
#
cd $dsn
 
#
#
onerror {resume}
run_test "test_dsc_incorrect" 0 "300 us"
run_test "test_read_4kB" 1 "300 us"
run_test "test_adm_read_8kb" 2 "350 us"
 
exit

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.