OpenCores
URL https://opencores.org/ocsvn/spacewire/spacewire/trunk

Subversion Repositories spacewire

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/trunk/rtl/Cfg_Ctrl.v
69,19 → 69,11
parameter
 
////////////////////////////
// Register(Control, status)
// Registers(Control, status)
//
reg [7:0] gpio;
reg [7:0] err;
reg [7:0] err_source;
reg [7:0] tim_itv; // timer interval
reg [9:0] speed [0:IO_PORTNUM]; // to set transmitter speed of each channel individually
reg [4:0] SWStatus [0:I_PORTNUM]; // switch status
reg [63:0] LSctrl;
reg [63:0] CSctrl;
// Network Management
reg RouterID; // Router Identity Register
 
`include "RegSWR.v"
 
////////////////////////////
// Command & Reply
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.