OpenCores
URL https://opencores.org/ocsvn/t51/t51/trunk

Subversion Repositories t51

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/trunk/bench/vhdl/TestBench52.vhd
55,10 → 55,10
P3(0) <= RXD;
ExDI <= (others => '1');
 
as : AsyncStim generic map(FileName => "BASIC.txt", InterCharDelay => 12000 us, Baud => 115200, Bits => 8)
as : AsyncStim generic map(FileName => "BASIC.txt", InterCharDelay => 5000 us, Baud => 115200, Bits => 8)
port map(RXD);
 
al : AsyncLog generic map(FileName => "RX_Log.txt", Baud => 14400, Bits => 8)
al : AsyncLog generic map(FileName => "RX_Log.txt", Baud => 115200, Bits => 8)
port map(TXD);
 
Clk <= not Clk after 45 ns;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.