OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 160 to Rev 161
    Reverse comparison

Rev 160 → Rev 161

/trunk/sw/hex2rom/hex2rom.cpp
60,6 → 60,8
//
// 0244 : Added Leonardo .UCF option
//
// 28-Apr-2008 : Generate D for synchronous ROM in clocked process.
//
 
#include <stdio.h>
#include <string>
704,17 → 706,11
}
else if (O == 's')
{
printf("\n\tsignal A_r : std_logic_vector(%d downto 0);", aWidth - 1);
printf("\nbegin");
printf("\n\tprocess (Clk)");
printf("\n\tbegin");
printf("\n\t\tif Clk'event and Clk = '1' then");
printf("\n\t\t\tA_r <= A;");
printf("\n\t\tend if;");
printf("\n\tend process;");
printf("\n\tprocess (A_r)");
printf("\n\tbegin");
printf("\n\t\tcase to_integer(unsigned(A_r)) is");
printf("\n\t\tcase to_integer(unsigned(A)) is");
}
else
{
762,6 → 758,8
{
printf("\n\t\twhen others => D <= \"%s\";", strDC.c_str());
printf("\n\t\tend case;");
if (O == 's')
printf("\n\tend if;");
printf("\n\tend process;");
}
else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.