OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 161 to Rev 162
    Reverse comparison

Rev 161 → Rev 162

/trunk/rtl/tech/generic/generic_ram.vhd
2,7 → 2,7
--
-- Parametrizable, generic RAM.
--
-- $Id: generic_ram.vhd,v 1.2 2006-06-17 14:20:59 arniml Exp $
-- $Id: generic_ram.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
75,7 → 75,6
:= (others => (others => '0'))
-- pragma translate_on
;
signal a_q : std_logic_vector(a_i'range);
 
begin
 
87,11 → 86,9
mem_q(to_integer(unsigned(a_i))) <= d_i;
end if;
 
a_q <= a_i;
d_o <= mem_q(to_integer(unsigned(a_i)));
end if;
 
end process mem;
 
d_o <= mem_q(to_integer(unsigned(a_q)));
 
end rtl;
/trunk/rtl/tech/generic/generic_ram_ena.vhd
2,7 → 2,7
--
-- Parametrizable, generic RAM with enable.
--
-- $Id: generic_ram_ena.vhd,v 1.2 2006-06-17 14:20:59 arniml Exp $
-- $Id: generic_ram_ena.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
76,7 → 76,6
:= (others => (others => '0'))
-- pragma translate_on
;
signal a_q : std_logic_vector(a_i'range);
 
begin
 
89,12 → 88,10
mem_q(to_integer(unsigned(a_i))) <= d_i;
end if;
 
a_q <= a_i;
d_o <= mem_q(to_integer(unsigned(a_i)));
end if;
 
end if;
end process mem;
 
d_o <= mem_q(to_integer(unsigned(a_q)));
 
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.