OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 162 to Rev 163
    Reverse comparison

Rev 162 → Rev 163

/funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment_6p.vhd
1,7 → 1,7
-- ***************************************************
-- File: hibi_segment_6p.vhd
-- Creation date: 28.02.2013
-- Creation time: 13:35:47
-- Creation time: 13:54:32
-- Description:
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
192,7 → 192,7
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
199,7 → 199,7
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA : std_logic_vector(31 downto 0);
206,7 → 206,7
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL : std_logic;
213,7 → 213,7
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK : std_logic;
220,7 → 220,7
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK : std_logic;
 
component hibi_orbus_6p
generic (
396,7 → 396,7
bus_av_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV,
bus_av_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV,
bus_av_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV,
bus_av_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_comm_0_in(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_comm_1_in(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
403,7 → 403,7
bus_comm_3_in(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM(4 downto 0),
bus_comm_4_in(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM(4 downto 0),
bus_comm_5_in(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_data_0_in(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_data_1_in(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
410,7 → 410,7
bus_data_3_in(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA(31 downto 0),
bus_data_4_in(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA(31 downto 0),
bus_data_5_in(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_full_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_full_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL,
bus_full_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
417,7 → 417,7
bus_full_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL,
bus_full_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL,
bus_full_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL,
bus_full_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_lock_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_lock_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK,
bus_lock_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
424,13 → 424,14
bus_lock_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK,
bus_lock_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK,
bus_lock_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK,
bus_lock_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK
bus_lock_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK
);
 
hibi_wrapper_r4_0 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_0_addr_start,
addr_limit_g => ip_mSlave_0_addr_end
addr_limit_g => ip_mSlave_0_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in,
447,16 → 448,16
agent_re_in => agent_re_in,
agent_sync_clk => agent_sync_clk,
agent_we_in => agent_we_in,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV,
bus_clk => bus_clk,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk,
rst_n => rst_n
465,7 → 466,8
hibi_wrapper_r4_1 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_1_addr_start,
addr_limit_g => ip_mSlave_1_addr_end
addr_limit_g => ip_mSlave_1_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_1,
482,16 → 484,16
agent_re_in => agent_re_in_1,
agent_sync_clk => agent_sync_clk_1,
agent_we_in => agent_we_in_1,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveAV,
bus_clk => bus_clk_1,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_1,
rst_n => rst_n
500,7 → 502,8
hibi_wrapper_r4_2 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_2_addr_start,
addr_limit_g => ip_mSlave_2_addr_end
addr_limit_g => ip_mSlave_2_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_2,
517,16 → 520,16
agent_re_in => agent_re_in_2,
agent_sync_clk => agent_sync_clk_2,
agent_we_in => agent_we_in_2,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV,
bus_clk => bus_clk_2,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_2,
rst_n => rst_n
535,7 → 538,8
hibi_wrapper_r4_3 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_3_addr_start,
addr_limit_g => ip_mSlave_3_addr_end
addr_limit_g => ip_mSlave_3_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_3,
552,16 → 556,16
agent_re_in => agent_re_in_3,
agent_sync_clk => agent_sync_clk_3,
agent_we_in => agent_we_in_3,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV,
bus_clk => bus_clk_3,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_3,
rst_n => rst_n
587,16 → 591,16
agent_re_in => agent_re_in_4,
agent_sync_clk => agent_sync_clk_4,
agent_we_in => agent_we_in_4,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV,
bus_clk => bus_clk_4,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_4,
rst_n => rst_n
605,7 → 609,8
hibi_wrapper_r4_5 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_5_addr_start,
addr_limit_g => ip_mSlave_5_addr_end
addr_limit_g => ip_mSlave_5_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_5,
622,16 → 627,16
agent_re_in => agent_re_in_5,
agent_sync_clk => agent_sync_clk_5,
agent_we_in => agent_we_in_5,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV,
bus_clk => bus_clk_5,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_5,
rst_n => rst_n
/funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.3.0.xml
10,7 → 10,8
<spirit:version>3.0</spirit:version>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>ip_mSlave_5</spirit:name>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
25,7 → 26,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_5</spirit:name>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
41,7 → 42,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_5</spirit:name>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
57,7 → 58,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_5</spirit:name>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
73,7 → 74,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_5</spirit:name>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
89,7 → 90,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_5</spirit:name>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
105,7 → 106,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_5</spirit:name>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
121,7 → 122,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_5</spirit:name>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
133,15 → 134,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
148,7 → 150,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_5</spirit:name>
<spirit:name>agent_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
157,16 → 159,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_5</spirit:name>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
173,30 → 175,14
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_5</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_5</spirit:name>
<spirit:name>bus_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
205,7 → 191,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
212,7 → 198,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_5</spirit:name>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
224,7 → 210,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_5</spirit:name>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
239,7 → 226,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_5</spirit:name>
<spirit:name>agent_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
255,7 → 242,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_5</spirit:name>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
271,7 → 258,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_5</spirit:name>
<spirit:name>bus_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
287,7 → 274,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_5</spirit:name>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
299,15 → 286,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
314,7 → 302,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_4</spirit:name>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
323,16 → 311,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_4</spirit:name>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
339,16 → 327,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_4</spirit:name>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
355,7 → 343,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
362,7 → 350,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_4</spirit:name>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
369,20 → 357,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
389,7 → 366,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_4</spirit:name>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
398,16 → 375,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_4</spirit:name>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
414,23 → 391,35
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_4</spirit:name>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
437,7 → 426,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_4</spirit:name>
<spirit:name>agent_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
446,7 → 435,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
453,7 → 442,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_4</spirit:name>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
460,20 → 449,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
480,7 → 458,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_4</spirit:name>
<spirit:name>bus_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
489,46 → 467,42
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_4</spirit:name>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_4</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_4</spirit:name>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
537,16 → 511,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_4</spirit:name>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
553,16 → 527,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_4</spirit:name>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
569,7 → 543,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
576,7 → 550,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_4</spirit:name>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
583,21 → 557,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
604,7 → 566,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>rst_n</spirit:name>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
616,8 → 578,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
632,7 → 594,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in</spirit:name>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
648,7 → 610,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in</spirit:name>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
664,7 → 626,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in</spirit:name>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
680,7 → 642,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in</spirit:name>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
696,7 → 658,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in</spirit:name>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
708,8 → 670,36
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>rst_n</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
724,7 → 714,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out</spirit:name>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
740,7 → 730,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out</spirit:name>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
756,7 → 746,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out</spirit:name>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
772,7 → 762,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out</spirit:name>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
788,7 → 778,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out</spirit:name>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
804,7 → 794,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
820,7 → 810,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
832,16 → 822,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
848,7 → 838,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_1</spirit:name>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
857,16 → 847,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
873,16 → 863,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_1</spirit:name>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
889,7 → 879,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
896,7 → 886,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
903,21 → 893,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
924,7 → 902,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
931,18 → 909,30
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:name>agent_clk_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
949,16 → 939,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
965,7 → 955,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
972,7 → 962,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:name>bus_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
981,7 → 971,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
988,7 → 978,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1000,8 → 990,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
1016,7 → 1006,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:name>agent_av_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1032,7 → 1022,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:name>agent_comm_out</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1048,7 → 1038,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:name>agent_data_out</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1064,7 → 1054,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:name>agent_empty_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1080,7 → 1070,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:name>agent_full_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1096,7 → 1086,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1112,7 → 1102,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1124,8 → 1114,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
1140,7 → 1130,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:name>agent_av_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1156,7 → 1146,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:name>agent_comm_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1172,7 → 1162,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:name>agent_data_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1188,7 → 1178,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:name>agent_re_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1204,7 → 1194,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:name>agent_we_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1216,11 → 1206,10
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
1232,7 → 1221,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:name>agent_av_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1248,7 → 1237,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:name>agent_comm_out_4</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1264,7 → 1253,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:name>agent_data_out_4</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1273,7 → 1262,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1280,7 → 1269,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:name>agent_empty_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1289,7 → 1278,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1296,7 → 1285,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:name>agent_full_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1303,21 → 1292,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1324,7 → 1301,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_3</spirit:name>
<spirit:name>agent_one_d_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1333,7 → 1310,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1340,7 → 1317,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:name>agent_one_p_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1347,9 → 1324,20
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1356,7 → 1344,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_3</spirit:name>
<spirit:name>agent_av_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1365,44 → 1353,32
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:name>agent_comm_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:name>agent_data_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1409,16 → 1385,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:name>agent_re_in_4</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1425,23 → 1401,34
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:name>agent_we_in_4</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1448,7 → 1435,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:name>agent_clk_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1457,7 → 1444,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1464,7 → 1451,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:name>agent_sync_clk_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1473,7 → 1460,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1480,7 → 1467,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:name>bus_clk_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1489,7 → 1476,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1496,7 → 1483,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:name>bus_sync_clk_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1508,8 → 1495,7
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:name>clocks_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
1524,7 → 1510,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_2</spirit:name>
<spirit:name>agent_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1540,7 → 1526,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:name>agent_sync_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1556,7 → 1542,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_2</spirit:name>
<spirit:name>bus_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1572,7 → 1558,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:name>bus_sync_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1584,16 → 1570,15
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mMaster_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1600,7 → 1585,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk</spirit:name>
<spirit:name>agent_av_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1609,16 → 1594,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:name>agent_comm_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1625,14 → 1610,30
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_5</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk</spirit:name>
<spirit:name>agent_re_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1641,7 → 1642,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1648,7 → 1649,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:name>agent_we_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1660,8 → 1661,7
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
1676,7 → 1676,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:name>agent_av_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1692,7 → 1692,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:name>agent_comm_out_5</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1708,7 → 1708,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:name>agent_data_out_5</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1724,7 → 1724,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:name>agent_empty_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1740,7 → 1740,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:name>agent_full_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1756,7 → 1756,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:name>agent_one_d_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1772,7 → 1772,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:name>agent_one_p_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
/funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.design.3.0.xml
10,38 → 10,39
<spirit:version>3.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_5</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_5_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_5_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="60"/>
<kactus2:position x="770" y="550"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="120"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="80" y="100"/>
<kactus2:position x="-80" y="290"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="90"/>
<kactus2:position x="-80" y="180"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="80" y="70"/>
<kactus2:position x="-80" y="140"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="110"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="100"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
54,6 → 55,7
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_3_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_3_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="250"/>
84,38 → 86,39
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_5</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_5_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_5_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="550"/>
<kactus2:position x="770" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="120"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="290"/>
<kactus2:position x="80" y="100"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="180"/>
<kactus2:position x="-80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="140"/>
<kactus2:position x="80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="100"/>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
156,38 → 159,39
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_4</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_4_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_4_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="300"/>
<kactus2:position x="250" y="560"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="110"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="180"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="190"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="170"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="140"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
200,6 → 204,7
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_0_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_0_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="60"/>
230,38 → 235,39
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_4</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_4_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_4_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="560"/>
<kactus2:position x="250" y="300"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="80"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="180"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="190"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="170"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="140"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
269,222 → 275,209
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_5"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_2"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_4"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_3"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_1"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_1"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_3"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_4"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_2"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_5"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="890"/>
<kactus2:position x="90" y="490"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="690"/>
<kactus2:position x="90" y="890"/>
<kactus2:position x="660" y="320"/>
<kactus2:position x="90" y="490"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="920"/>
<kactus2:position x="90" y="700"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="730"/>
<kactus2:position x="90" y="920"/>
<kactus2:position x="140" y="180"/>
<kactus2:position x="90" y="700"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="860"/>
<kactus2:position x="90" y="550"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="840"/>
<kactus2:position x="90" y="860"/>
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="550"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="640"/>
<kactus2:position x="90" y="670"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="640"/>
<kactus2:position x="60" y="640"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="670"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="770"/>
<kactus2:position x="90" y="220"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="750"/>
<kactus2:position x="80" y="750"/>
<kactus2:position x="80" y="770"/>
<kactus2:position x="60" y="770"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="340"/>
<kactus2:position x="90" y="220"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="730"/>
<kactus2:position x="90" y="580"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="730"/>
<kactus2:position x="60" y="730"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="630"/>
<kactus2:position x="90" y="830"/>
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="580"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:position x="90" y="520"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="600"/>
<kactus2:position x="90" y="830"/>
<kactus2:position x="660" y="360"/>
<kactus2:position x="90" y="520"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="440"/>
<kactus2:position x="660" y="450"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="200"/>
<kactus2:position x="660" y="170"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="430"/>
<kactus2:position x="90" y="370"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="430"/>
<kactus2:position x="140" y="340"/>
<kactus2:position x="90" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="100"/>
<kactus2:position x="90" y="280"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="100"/>
<kactus2:position x="90" y="100"/>
<kactus2:position x="140" y="370"/>
<kactus2:position x="90" y="280"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
499,124 → 492,137
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="280"/>
<kactus2:position x="90" y="100"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="370"/>
<kactus2:position x="90" y="280"/>
<kactus2:position x="140" y="100"/>
<kactus2:position x="90" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="370"/>
<kactus2:position x="90" y="430"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="340"/>
<kactus2:position x="90" y="370"/>
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="430"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="170"/>
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="450"/>
<kactus2:position x="140" y="440"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="520"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="360"/>
<kactus2:position x="90" y="520"/>
<kactus2:position x="140" y="600"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="580"/>
<kactus2:position x="660" y="630"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="220"/>
<kactus2:position x="60" y="730"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="340"/>
<kactus2:position x="90" y="220"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="730"/>
<kactus2:position x="60" y="730"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="670"/>
<kactus2:position x="60" y="770"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="670"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="750"/>
<kactus2:position x="80" y="750"/>
<kactus2:position x="80" y="770"/>
<kactus2:position x="60" y="770"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="clocks_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="550"/>
<kactus2:position x="60" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="640"/>
<kactus2:position x="60" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="860"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="550"/>
<kactus2:position x="660" y="840"/>
<kactus2:position x="90" y="860"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="700"/>
<kactus2:position x="90" y="920"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="180"/>
<kactus2:position x="90" y="700"/>
<kactus2:position x="660" y="730"/>
<kactus2:position x="90" y="920"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="490"/>
<kactus2:position x="90" y="890"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="320"/>
<kactus2:position x="90" y="490"/>
<kactus2:position x="660" y="690"/>
<kactus2:position x="90" y="890"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
629,62 → 635,62
<kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="670"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="570"/>
<kactus2:position x="670" y="570"/>
<kactus2:position x="670" y="590"/>
<kactus2:position x="690" y="590"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster" kactus2:offPage="true">
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="100"/>
<kactus2:position x="690" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="580"/>
<kactus2:position x="350" y="580"/>
<kactus2:position x="350" y="600"/>
<kactus2:position x="330" y="600"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="410"/>
<kactus2:position x="670" y="410"/>
<kactus2:position x="670" y="420"/>
<kactus2:position x="690" y="420"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="400"/>
<kactus2:position x="350" y="400"/>
<kactus2:position x="350" y="410"/>
<kactus2:position x="330" y="410"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="130"/>
<kactus2:position x="360" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster" kactus2:offPage="true">
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="290"/>
<kactus2:position x="360" y="640"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="340"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster" kactus2:offPage="true">
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="640"/>
<kactus2:position x="660" y="290"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="true">
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="120"/>
<kactus2:position x="660" y="130"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="670"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="400"/>
<kactus2:position x="350" y="400"/>
<kactus2:position x="350" y="410"/>
<kactus2:position x="330" y="410"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="410"/>
<kactus2:position x="670" y="410"/>
<kactus2:position x="670" y="420"/>
<kactus2:position x="690" y="420"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="580"/>
<kactus2:position x="350" y="580"/>
<kactus2:position x="350" y="600"/>
<kactus2:position x="330" y="600"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="100"/>
<kactus2:position x="690" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="570"/>
<kactus2:position x="670" y="570"/>
<kactus2:position x="670" y="590"/>
<kactus2:position x="690" y="590"/>
</kactus2:route>
</kactus2:routes>
<kactus2:adHocVisibilities/>
</spirit:vendorExtensions>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.