OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 163 to Rev 164
    Reverse comparison

Rev 163 → Rev 164

/trunk/bench/vec/cordic.vec
0,0 → 1,9
////
////
//// test vectors for cordic
////
////
ffffff
00xxxx
xx0cxx
xxxx32
trunk/bench/vec/cordic.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/pwm.vec =================================================================== --- trunk/bench/vec/pwm.vec (nonexistent) +++ trunk/bench/vec/pwm.vec (revision 164) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for pwm +//// +//// +ffffff +xxfexx +xxffxx +xxfexx +xxffxx
trunk/bench/vec/pwm.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/7seg.vec =================================================================== --- trunk/bench/vec/7seg.vec (nonexistent) +++ trunk/bench/vec/7seg.vec (revision 164) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for 7seg +//// +//// +ffffff +xxf9xx +xxa4xx +xxb0xx +xx99xx
trunk/bench/vec/7seg.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/src.vec =================================================================== --- trunk/bench/vec/src.vec (nonexistent) +++ trunk/bench/vec/src.vec (revision 164) @@ -0,0 +1,21 @@ +//// +//// +//// test vectors for src +//// +//// +ffffff +00xxxx +xx00xx +xxxx00 +xx0axx +xx14xx +xx1exx +xx28xx +xx32xx +xx3cxx +xx46xx +xx50xx +xx5axx +02xxxx +xx00xx +// more
trunk/bench/vec/src.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/BLINKY.vec =================================================================== --- trunk/bench/vec/BLINKY.vec (nonexistent) +++ trunk/bench/vec/BLINKY.vec (revision 164) @@ -0,0 +1,21 @@ +//// +//// +//// test vectors for BLINKY +//// +//// +ffffff +xx01xx +xx02xx +xx04xx +xx08xx +xx10xx +xx20xx +xx40xx +xx80xx +xx40xx +xx20xx +xx10xx +xx08xx +xx04xx +xx02xx +xx01xx
trunk/bench/vec/BLINKY.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/normalize.vec =================================================================== --- trunk/bench/vec/normalize.vec (nonexistent) +++ trunk/bench/vec/normalize.vec (revision 164) @@ -0,0 +1,24 @@ +//// +//// +//// test vectors for normalize +//// +//// +ffffff +00xxxx +xx00xx +xxxx00 +xx02xx +xxxx36 +80xxxx +00xxxx +xx4fxx +xxxx63 +01xxxx +xx36xx +xxxx13 +02xxxx +xx17xx +xxxx3b +xx5axx +xxxx26 +//more \ No newline at end of file
trunk/bench/vec/normalize.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/sqroot_1.vec =================================================================== --- trunk/bench/vec/sqroot_1.vec (nonexistent) +++ trunk/bench/vec/sqroot_1.vec (revision 164) @@ -0,0 +1,9 @@ +//// +//// +//// test vectors for sqroot_1 +//// +//// p0, p1, p2 +ffffff +01xxxx +xx0axx +xxxx34 \ No newline at end of file
trunk/bench/vec/sqroot_1.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/Crc.vec =================================================================== --- trunk/bench/vec/Crc.vec (nonexistent) +++ trunk/bench/vec/Crc.vec (revision 164) @@ -0,0 +1,7 @@ +//// +//// +//// test vectors for crc +//// +//// +ffffff +00xxxx
trunk/bench/vec/Crc.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/Sieve.vec =================================================================== --- trunk/bench/vec/Sieve.vec (nonexistent) +++ trunk/bench/vec/Sieve.vec (revision 164) @@ -0,0 +1,69 @@ +//// +//// +//// test vectors for sieve +//// +//// +ffffff +xx01xx +00xxxx +xx02xx +01xxxx +xx03xx +02xxxx +xx04xx +03xxxx +xx05xx +05xxxx +xx06xx +07xxxx +xx07xx +0bxxxx +xx08xx +0dxxxx +xx09xx +11xxxx +xx0axx +13xxxx +xx0bxx +17xxxx +xx0cxx +1dxxxx +xx0dxx +1fxxxx +xx0exx +25xxxx +xx0fxx +29xxxx +xx10xx +2bxxxx +xx11xx +2fxxxx +xx12xx +35xxxx +xx13xx +3bxxxx +xx14xx +3dxxxx +xx15xx +43xxxx +xx16xx +47xxxx +xx17xx +49xxxx +xx18xx +4fxxxx +xx19xx +53xxxx +xx1axx +59xxxx +xx1bxx +61xxxx +xx1cxx +65xxxx +xx1dxx +67xxxx +xx1exx +6bxxxx +xx1fxx +6dxxxx +// more
trunk/bench/vec/Sieve.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/cubicroots.vec =================================================================== --- trunk/bench/vec/cubicroots.vec (nonexistent) +++ trunk/bench/vec/cubicroots.vec (revision 164) @@ -0,0 +1,12 @@ +//// +//// +//// test vectors for cubicroots +//// +//// +ffffff +02xxxx +xx00xx +xxxx00 +82xxxx +02xxxx +00xxxx
trunk/bench/vec/cubicroots.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/blinkP10.vec =================================================================== --- trunk/bench/vec/blinkP10.vec (nonexistent) +++ trunk/bench/vec/blinkP10.vec (revision 164) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for binkP10 +//// +//// +ffffff +xxfexx +xxffxx +xxfexx +xxffxx
trunk/bench/vec/blinkP10.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/calculator.vec =================================================================== --- trunk/bench/vec/calculator.vec (nonexistent) +++ trunk/bench/vec/calculator.vec (revision 164) @@ -0,0 +1,8 @@ +//// +//// +//// test vectors for calculator +//// +//// +ffffff +00xxxx +0exxxx
trunk/bench/vec/calculator.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/bench/vec/timer0.vec =================================================================== --- trunk/bench/vec/timer0.vec (nonexistent) +++ trunk/bench/vec/timer0.vec (revision 164) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for timer0 +//// +//// +ffffff +xxfdxx +xxfcxx +xxfexx +xxffxx
trunk/bench/vec/timer0.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.