OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 166 to Rev 167
    Reverse comparison

Rev 166 → Rev 167

/trunk/bench/verilog/oc8051_tb.v
44,6 → 44,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.15 2003/06/05 17:14:27 simont
// Change test monitor from ports to external data memory.
//
// Revision 1.14 2003/06/05 12:54:38 simont
// remove dumpvars.
//
306,6 → 309,7
 
#80000000
$display("time ",$time, "\n faulire: end of time\n \n");
$display("");
$finish;
end
 
323,11 → 327,13
if (data_out==8'h7f) begin
$display("");
$display("time ",$time, " Passed");
$display("");
$finish;
 
end else begin
$display("");
$display("time ",$time," Error: %h", data_out);
$display("");
$finish;
end
end
334,4 → 340,10
end
 
 
initial
$readmemb("../oc8051_ea.in", ea);
 
 
 
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.