OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 166 to Rev 167
    Reverse comparison

Rev 166 → Rev 167

/trunk/rtl/vhdl/t400_core.vhd
2,7 → 2,7
--
-- T400 Microcontroller Core
--
-- $Id: t400_core.vhd,v 1.10 2006-06-11 13:34:39 arniml Exp $
-- $Id: t400_core.vhd,v 1.11 2008-05-01 19:51:12 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
162,15 → 162,8
rd_n_s,
wr_n_s : std_logic;
 
signal vdd_s : std_logic;
signal gnd4_s : dw_t;
 
begin
 
-- dummies
vdd_s <= '1';
gnd4_s <= (others => '0');
 
ck_en_s <= ck_en_i = '1';
por_s <= por_n_i = '0';
 
534,6 → 527,12
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.10 2006/06/11 13:34:39 arniml
-- Fix bug:
-- "Timer skipped in T421 configuration"
-- The generate block that instantiates the timer module considers
-- now t400_opt_type_421_x as well.
--
-- Revision 1.9 2006/06/06 00:33:56 arniml
-- remove note about limitations
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.