OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/trunk/MATLAB/dct_func.m
4,13 → 4,13
 
input_s = input./2;
 
A = round(cos(pi/4)*(2^11));
B = round(cos(pi/8)*(2^11));
C = round(sin(pi/8)*(2^11));
D = round(cos(pi/16)*(2^11));
E = round(cos(3*pi/16)*(2^11));
F = round(sin(3*pi/16)*(2^11));
G = round(sin(pi/16)*(2^11));
A = round(cos(pi/4)*(2^11))
B = round(cos(pi/8)*(2^11))
C = round(sin(pi/8)*(2^11))
D = round(cos(pi/16)*(2^11))
E = round(cos(3*pi/16)*(2^11))
F = round(sin(3*pi/16)*(2^11))
G = round(sin(pi/16)*(2^11))
Ce = [
A, A, A, A;
B, C, -C, -B;
/trunk/source/DCT1D.vhd
119,111 → 119,113
wmemsel_sg:
wmemsel <= wmemsel_reg;
process(clk,rst)
process(clk)
begin
if rst = '1' then
inpcnt_reg <= (others => '0');
latchbuf_reg <= (others => (others => '0'));
databuf_reg <= (others => (others => '0'));
stage2_reg <= '0';
stage2_cnt_reg <= (others => '1');
ramdatai_s <= (others => '0');
ramwe_s <= '0';
ramwaddro <= (others => '0');
col_reg <= (others => '0');
row_reg <= (others => '0');
wmemsel_reg <= '0';
col_2_reg <= (others => '0');
elsif clk = '1' and clk'event then
 
stage2_reg <= '0';
ramwe_s <= '0';
--------------------------------
-- 1st stage
--------------------------------
if idv = '1' then
inpcnt_reg <= inpcnt_reg + 1;
 
-- right shift input data
latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT;
 
if inpcnt_reg = N-1 then
-- after this sum databuf_reg is in range of -256 to 254 (min to max)
databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT);
databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7);
databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6);
databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5);
databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT);
databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7);
databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6);
databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5);
stage2_reg <= '1';
if clk = '1' and clk'event then
if rst = '1' then
inpcnt_reg <= (others => '0');
latchbuf_reg <= (others => (others => '0'));
databuf_reg <= (others => (others => '0'));
stage2_reg <= '0';
stage2_cnt_reg <= (others => '1');
ramdatai_s <= (others => '0');
ramwe_s <= '0';
ramwaddro <= (others => '0');
col_reg <= (others => '0');
row_reg <= (others => '0');
wmemsel_reg <= '0';
col_2_reg <= (others => '0');
else
stage2_reg <= '0';
ramwe_s <= '0';
--------------------------------
-- 1st stage
--------------------------------
if idv = '1' then
inpcnt_reg <= inpcnt_reg + 1;
-- right shift input data
latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT;
if inpcnt_reg = N-1 then
-- after this sum databuf_reg is in range of -256 to 254 (min to max)
databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT);
databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7);
databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6);
databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5);
databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT);
databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7);
databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6);
databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5);
stage2_reg <= '1';
end if;
end if;
end if;
--------------------------------
--------------------------------
-- 2nd stage
--------------------------------
if stage2_cnt_reg < N then
--------------------------------
if stage2_cnt_reg(0) = '0' then
ramdatai_s <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romedatao0),DA_W) +
(RESIZE(SIGNED(romedatao1),DA_W-1) & '0') +
(RESIZE(SIGNED(romedatao2),DA_W-2) & "00") +
(RESIZE(SIGNED(romedatao3),DA_W-3) & "000") +
(RESIZE(SIGNED(romedatao4),DA_W-4) & "0000") +
(RESIZE(SIGNED(romedatao5),DA_W-5) & "00000") +
(RESIZE(SIGNED(romedatao6),DA_W-6) & "000000") +
(RESIZE(SIGNED(romedatao7),DA_W-7) & "0000000") -
(RESIZE(SIGNED(romedatao8),DA_W-8) & "00000000"),
DA_W)(DA_W-1 downto 12));
else
ramdatai_s <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romodatao0),DA_W) +
(RESIZE(SIGNED(romodatao1),DA_W-1) & '0') +
(RESIZE(SIGNED(romodatao2),DA_W-2) & "00") +
(RESIZE(SIGNED(romodatao3),DA_W-3) & "000") +
(RESIZE(SIGNED(romodatao4),DA_W-4) & "0000") +
(RESIZE(SIGNED(romodatao5),DA_W-5) & "00000") +
(RESIZE(SIGNED(romodatao6),DA_W-6) & "000000") +
(RESIZE(SIGNED(romodatao7),DA_W-7) & "0000000") -
(RESIZE(SIGNED(romodatao8),DA_W-8) & "00000000"),
DA_W)(DA_W-1 downto 12));
--------------------------------
-- 2nd stage
--------------------------------
if stage2_cnt_reg < N then
if stage2_cnt_reg(0) = '0' then
ramdatai_s <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romedatao0),DA_W) +
(RESIZE(SIGNED(romedatao1),DA_W-1) & '0') +
(RESIZE(SIGNED(romedatao2),DA_W-2) & "00") +
(RESIZE(SIGNED(romedatao3),DA_W-3) & "000") +
(RESIZE(SIGNED(romedatao4),DA_W-4) & "0000") +
(RESIZE(SIGNED(romedatao5),DA_W-5) & "00000") +
(RESIZE(SIGNED(romedatao6),DA_W-6) & "000000") +
(RESIZE(SIGNED(romedatao7),DA_W-7) & "0000000") -
(RESIZE(SIGNED(romedatao8),DA_W-8) & "00000000"),
DA_W)(DA_W-1 downto 12));
else
ramdatai_s <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romodatao0),DA_W) +
(RESIZE(SIGNED(romodatao1),DA_W-1) & '0') +
(RESIZE(SIGNED(romodatao2),DA_W-2) & "00") +
(RESIZE(SIGNED(romodatao3),DA_W-3) & "000") +
(RESIZE(SIGNED(romodatao4),DA_W-4) & "0000") +
(RESIZE(SIGNED(romodatao5),DA_W-5) & "00000") +
(RESIZE(SIGNED(romodatao6),DA_W-6) & "000000") +
(RESIZE(SIGNED(romodatao7),DA_W-7) & "0000000") -
(RESIZE(SIGNED(romodatao8),DA_W-8) & "00000000"),
DA_W)(DA_W-1 downto 12));
end if;
stage2_cnt_reg <= stage2_cnt_reg + 1;
-- write RAM
ramwe_s <= '1';
-- reverse col/row order for transposition purpose
ramwaddro <= STD_LOGIC_VECTOR(col_2_reg & row_reg);
-- increment column counter
col_reg <= col_reg + 1;
col_2_reg <= col_2_reg + 1;
-- finished processing one input row
if col_reg = 0 then
row_reg <= row_reg + 1;
-- switch to 2nd memory
if row_reg = N - 1 then
wmemsel_reg <= not wmemsel_reg;
col_reg <= (others => '0');
end if;
end if;
end if;
stage2_cnt_reg <= stage2_cnt_reg + 1;
-- write RAM
ramwe_s <= '1';
-- reverse col/row order for transposition purpose
ramwaddro <= STD_LOGIC_VECTOR(col_2_reg & row_reg);
-- increment column counter
col_reg <= col_reg + 1;
col_2_reg <= col_2_reg + 1;
-- finished processing one input row
if col_reg = 0 then
row_reg <= row_reg + 1;
-- switch to 2nd memory
if row_reg = N - 1 then
wmemsel_reg <= not wmemsel_reg;
col_reg <= (others => '0');
end if;
end if;
if stage2_reg = '1' then
stage2_cnt_reg <= (others => '0');
col_reg <= (0=>'1',others => '0');
col_2_reg <= (others => '0');
end if;
----------------------------------
end if;
if stage2_reg = '1' then
stage2_cnt_reg <= (others => '0');
col_reg <= (0=>'1',others => '0');
col_2_reg <= (others => '0');
end if;
----------------------------------
end if;
end process;
/trunk/source/testbench/COMPILE_TIMING.DO
25,23 → 25,15
vlib simprim
vmap simprim simprim
 
compxlib -s mti_se -f virtex2p -l all $XILINX/vhdl/src/simprims/simprim_Vpackage_mti.vhd
compxlib -s mti_se -f virtex2p -l all $XILINX/vhdl/src/simprims/simprim_Vcomponents_mti.vhd
compxlib -s mti_se -f virtex2p -l all $XILINX/vhdl/src/simprims/simprim_VITAL_mti.vhd
 
compxlib -s mti_se -arch all -l all -lib simprim
vlib work
vmap work work
 
vcom SOURCE/MDCT_PKG.vhd
vcom SOURCE/ROME.VHD
vcom SOURCE/ROMO.VHD
vcom SOURCE/RAM.VHD
vcom SOURCE/DCT1D.VHD
vcom SOURCE/DCT2D.VHD
vcom SOURCE/DBUFCTL.VHD
vcom SYNTHESIS/MDCT_TEMP_2/MDCT_OUT.VHD
 
vcom SYNTHESIS/PRECISION/PROJECT_1_IMPL_1/MDCT_OUT.VHD
vcom SOURCE/TESTBENCH/MDCTTB_PKG.VHD
vcom SOURCE/TESTBENCH/random1.VHD
vcom SOURCE/TESTBENCH/CLKGEN.VHD
vcom SOURCE/TESTBENCH/MDCTTB_PKG.VHD
vcom SOURCE/TESTBENCH/INPIMAGE.VHD
vcom SOURCE/TESTBENCH/MDCT_TB.VHD
/trunk/source/testbench/CLKGEN.VHD
24,7 → 24,7
use ieee.numeric_std.all;
 
library WORK;
use WORK.MDCT_PKG.all;
use WORK.MDCTTB_PKG.all;
entity CLKGEN is
port (
/trunk/source/testbench/RUNSIM_TIMING.DO
23,10 → 23,10
# -------------------------------------------------------------------------- #
# Start simulation #
# -------------------------------------------------------------------------- #
#do SOURCE/TESTBENCH/COMPILE_TIMING.DO
do SOURCE/TESTBENCH/COMPILE_TIMING.DO
vsim -t ps -sdftyp /tb_mdct/u_mdct=SYNTHESIS/MDCT_TEMP_2/MDCT_OUT.SDF WORK.CONF_MDCT_TIMING
do SOURCE/TESTBENCH/WAVE.DO
vsim -t ps -sdftyp /tb_mdct/u_mdct=SYNTHESIS/PRECISION/PROJECT_1_IMPL_1/MDCT_OUT.SDF WORK.CONF_MDCT_TIMING
do SOURCE/TESTBENCH/WAVE_TIM.DO
 
while {[exa testend_s] == "false"} {run 1 ms}
/trunk/source/testbench/INPIMAGE.VHD
59,11 → 59,16
signal xcon_s : INTEGER;
signal ycon_s : INTEGER;
signal error_dct_matrix_s : I_MATRIX_TYPE;
signal error_dcto1_matrix_s : I_MATRIX_TYPE;
signal error_dcto1_matrix_s : I_MATRIX_TYPE;
signal imageo_s : STD_LOGIC_VECTOR(IP_W-1 downto 0);
signal dv_s : STD_LOGIC;
 
begin
rst <= rst_s;
rst <= rst_s after HOLD_TIME;
imageo <= imageo_s after HOLD_TIME;
dv <= dv_s after HOLD_TIME;
--------------------------
-- input image stimuli
157,14 → 162,14
for i in 0 to N-1 loop
for j in 0 to N-1 loop
dv <= '1';
imageo <= STD_LOGIC_VECTOR(
dv_s <= '1';
imageo_s <= STD_LOGIC_VECTOR(
TO_UNSIGNED(INTEGER(matrix(i,j)),IP_W));
xcon_s <= x_blk_cnt*N+j;
ycon_s <= y_blk_cnt*N+i;
waitposedge;
if INSERT_DELAYS = TRUE then
dv <= '0';
dv_s <= '0';
waitposedge(40);
end if;
end loop;
181,10 → 186,10
---------------------------
begin
test_stim <= 0;
dv <= '0';
imageo <= (others => '0');
dv_s <= '0';
imageo_s <= (others => '0');
rst_s <= '1';
waitposedge(2);
waitposedge(20);
rst_s <= '0';
-------------------------
193,14 → 198,14
test_stim <= 1;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
dv_s <= '1';
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
waitposedge;
end loop;
end loop;
dv <= '0';
dv_s <= '0';
waitposedge;
-------------------------
210,14 → 215,14
test_stim <= 2;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data1(i,j)),IP_W));
dv_s <= '1';
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data1(i,j)),IP_W));
waitposedge;
end loop;
end loop;
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
227,14 → 232,14
test_stim <= 3;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data2(i,j)),IP_W));
dv_s <= '1';
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data2(i,j)),IP_W));
waitposedge;
end loop;
end loop;
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
244,16 → 249,16
test_stim <= 4;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
dv_s <= '1';
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
waitposedge;
dv <= '0';
dv_s <= '0';
waitposedge;
end loop;
end loop;
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
263,16 → 268,16
test_stim <= 5;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data1(i,j)),IP_W));
dv_s <= '1';
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data1(i,j)),IP_W));
waitposedge;
dv <= '0';
dv_s <= '0';
waitposedge(25);
end loop;
end loop;
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
284,11 → 289,11
test_stim <= test_stim+1;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
dv_s <= '1';
if x rem 2 = 0 then
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
else
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data3(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data3(i,j)),IP_W));
end if;
waitposedge;
end loop;
295,7 → 300,7
end loop;
end loop;
 
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
303,7 → 308,7
-- test 17-33
-------------------------
for x in 0 to 48 loop
for x in 0 to 16 loop
test_stim <= test_stim+1;
if xi < 4 then
xi := xi + 1;
312,22 → 317,22
end if;
for i in 0 to 7 loop
for j in 0 to 7 loop
dv <= '1';
dv_s <= '1';
case xi is
when 0 =>
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data1(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data1(i,j)),IP_W));
when 1 =>
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
when 2 =>
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data3(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data3(i,j)),IP_W));
when 3 =>
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data4(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data4(i,j)),IP_W));
when others =>
imageo <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
imageo_s <= STD_LOGIC_VECTOR(TO_UNSIGNED(INTEGER(input_data0(i,j)),IP_W));
end case;
waitposedge;
dv <= '0';
dv_s <= '0';
GenRnd(unf);
rnd := unf.rnd;
waitposedge(INTEGER(rnd));
335,7 → 340,7
end loop;
end loop;
 
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
352,7 → 357,7
--INSERT_DELAYS := TRUE;
--read_image;
dv <= '0';
dv_s <= '0';
waitposedge;
------------------------
651,7 → 656,7
-------------------------
-- test 17-33
-------------------------
for x in 0 to 48 loop
for x in 0 to 16 loop
test_inp <= test_inp + 1;
-- compute reference coefficients
if xi < 4 then
1165,7 → 1170,7
-------------------------
-- test 17-33
-------------------------
for x in 0 to 48 loop
for x in 0 to 16 loop
test_out <= test_out+1;
if xi < 4 then
xi := xi + 1;
/trunk/source/testbench/MDCT_TB.VHD
24,9 → 24,6
use IEEE.STD_LOGIC_1164.all;
library WORK;
use WORK.MDCT_PKG.all;
library SIMPRIM;
use SIMPRIM.VCOMPONENTS.ALL;
use SIMPRIM.VPACKAGE.ALL;
 
entity TB_MDCT is
end TB_MDCT;
65,7 → 62,7
------------------------------
-- Input image generator
------------------------------
component INPIMAGE is
component INPIMAGE
port (
clk : in STD_LOGIC;
odv1 : in STD_LOGIC;
/trunk/source/testbench/MDCT_TB.DO
21,28 → 21,29
#------------------------------------------------------------------------------
 
 
#set ROM_MODEL XILINX
set ROM_MODEL GENERIC
set ROM_MODEL XILINX
#set ROM_MODEL GENERIC
 
vlib work
vmap work work
 
vcom SOURCE/MDCT_PKG.vhd
if {$ROM_MODEL=="XILINX"} {
vcom SOURCE/XILINX/ROME_XIL.VHD
vcom SOURCE/XILINX/ROME.VHD
vcom SOURCE/XILINX/ROMO_XIL.VHD
vcom SOURCE/XILINX/ROMO.VHD
vcom -87 SOURCE/XILINX/ROME_XIL.VHD
vcom -87 SOURCE/XILINX/ROME.VHD
vcom -87 SOURCE/XILINX/ROMO_XIL.VHD
vcom -87 SOURCE/XILINX/ROMO.VHD
} else {
vcom SOURCE/ROME.VHD
vcom SOURCE/ROMO.VHD
vcom -87 SOURCE/ROME.VHD
vcom -87 SOURCE/ROMO.VHD
}
vcom SOURCE/RAM.VHD
vcom SOURCE/DCT1D.VHD
vcom SOURCE/DCT2D.VHD
vcom SOURCE/DBUFCTL.VHD
vcom SOURCE/MDCT.VHD
vcom SOURCE/TESTBENCH/random1.VHD
vcom SOURCE/TESTBENCH/CLKGEN.VHD
vcom SOURCE/TESTBENCH/MDCTTB_PKG.VHD
vcom SOURCE/TESTBENCH/INPIMAGE.VHD
vcom SOURCE/TESTBENCH/MDCT_TB.VHD
vcom -87 SOURCE/RAM.VHD
vcom -87 SOURCE/DCT1D.VHD
vcom -87 SOURCE/DCT2D.VHD
vcom -87 SOURCE/DBUFCTL.VHD
vcom -87 SOURCE/MDCT.VHD
vcom -87 SOURCE/TESTBENCH/MDCTTB_PKG.VHD
vcom -87 SOURCE/TESTBENCH/random1.VHD
vcom -87 SOURCE/TESTBENCH/CLKGEN.VHD
vcom -93 SOURCE/TESTBENCH/INPIMAGE.VHD
vcom -87 SOURCE/TESTBENCH/MDCT_TB.VHD
/trunk/source/testbench/MDCTTB_PKG.vhd
74,10 → 74,12
-- constant section 2
----------------------------------------------
-- set below to true to enable quantization in testbench
constant CLK_FREQ_C : INTEGER := 50;
constant HOLD_TIME : TIME := 1 ns;
constant ENABLE_QUANTIZATION_C : BOOLEAN := FALSE;
constant HEX_BASE : INTEGER := 16;
constant DEC_BASE : INTEGER := 10;
constant RUN_FULL_IMAGE : BOOLEAN := TRUE;
constant RUN_FULL_IMAGE : BOOLEAN := FALSE;
constant FILEIN_NAME_C : STRING := "SOURCE\TESTBENCH\lena512.txt";
constant FILEERROR_NAME_C : STRING := "SOURCE\TESTBENCH\imagee.txt";
constant FILEIMAGEO_NAME_C : STRING := "SOURCE\TESTBENCH\imageo.txt";
/trunk/source/DCT2D.VHD
114,140 → 114,142
rmemsel_sg:
rmemsel <= rmemsel_reg;
process(rst,clk)
process(clk)
begin
if rst = '1' then
stage2_cnt_reg <= (others => '1');
rmemsel_reg <= '0';
stage1_reg <= '0';
stage2_reg <= '0';
colram_reg <= (others => '0');
rowram_reg <= (others => '0');
col_reg <= (others => '0');
row_reg <= (others => '0');
latchbuf_reg <= (others => (others => '0'));
databuf_reg <= (others => (others => '0'));
dcto <= (others => '0');
odv <= '0';
colr_reg <= (others => '0');
rowr_reg <= (others => '0');
dataready_2_reg <= '0';
elsif clk='1' and clk'event then
stage2_reg <= '0';
odv <= '0';
datareadyack <= '0';
 
dataready_2_reg <= dataready;
if clk='1' and clk'event then
if rst = '1' then
stage2_cnt_reg <= (others => '1');
rmemsel_reg <= '0';
stage1_reg <= '0';
stage2_reg <= '0';
colram_reg <= (others => '0');
rowram_reg <= (others => '0');
col_reg <= (others => '0');
row_reg <= (others => '0');
latchbuf_reg <= (others => (others => '0'));
databuf_reg <= (others => (others => '0'));
dcto <= (others => '0');
odv <= '0';
colr_reg <= (others => '0');
rowr_reg <= (others => '0');
dataready_2_reg <= '0';
else
----------------------------------
-- read DCT 1D to barrel shifer
----------------------------------
if stage1_reg = '1' then
 
-- right shift input data
latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
latchbuf_reg(N-1) <= RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
colram_reg <= colram_reg + 1;
colr_reg <= colr_reg + 1;
stage2_reg <= '0';
odv <= '0';
datareadyack <= '0';
dataready_2_reg <= dataready;
----------------------------------
-- read DCT 1D to barrel shifer
----------------------------------
if stage1_reg = '1' then
-- right shift input data
latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1);
latchbuf_reg(N-1) <= RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
colram_reg <= colram_reg + 1;
colr_reg <= colr_reg + 1;
if colram_reg = N-2 then
rowr_reg <= rowr_reg + 1;
end if;
if colram_reg = N-1 then
rowram_reg <= rowram_reg + 1;
if rowram_reg = N-1 then
stage1_reg <= '0';
colr_reg <= (others => '0');
-- release memory
rmemsel_reg <= not rmemsel_reg;
end if;
-- after this sum databuf_reg is in range of -256 to 254 (min to max)
databuf_reg(0) <= latchbuf_reg(1)+RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7);
databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6);
databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5);
databuf_reg(4) <= latchbuf_reg(1)-RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7);
databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6);
databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5);
-- 8 point input latched
stage2_reg <= '1';
end if;
end if;
if colram_reg = N-2 then
rowr_reg <= rowr_reg + 1;
end if;
if colram_reg = N-1 then
rowram_reg <= rowram_reg + 1;
if rowram_reg = N-1 then
stage1_reg <= '0';
colr_reg <= (others => '0');
-- release memory
rmemsel_reg <= not rmemsel_reg;
--------------------------------
-- 2nd stage
--------------------------------
if stage2_cnt_reg < N then
if stage2_cnt_reg(0) = '0' then
dcto <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romedatao0),DA2_W) +
(RESIZE(SIGNED(romedatao1),DA2_W-1) & '0') +
(RESIZE(SIGNED(romedatao2),DA2_W-2) & "00") +
(RESIZE(SIGNED(romedatao3),DA2_W-3) & "000") +
(RESIZE(SIGNED(romedatao4),DA2_W-4) & "0000") +
(RESIZE(SIGNED(romedatao5),DA2_W-5) & "00000") +
(RESIZE(SIGNED(romedatao6),DA2_W-6) & "000000") +
(RESIZE(SIGNED(romedatao7),DA2_W-7) & "0000000") +
(RESIZE(SIGNED(romedatao8),DA2_W-8) & "00000000") +
(RESIZE(SIGNED(romedatao9),DA2_W-9) & "000000000") -
(RESIZE(SIGNED(romedatao10),DA2_W-10) & "0000000000"),
DA2_W)(DA2_W-1 downto 12));
else
dcto <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romodatao0),DA2_W) +
(RESIZE(SIGNED(romodatao1),DA2_W-1) & '0') +
(RESIZE(SIGNED(romodatao2),DA2_W-2) & "00") +
(RESIZE(SIGNED(romodatao3),DA2_W-3) & "000") +
(RESIZE(SIGNED(romodatao4),DA2_W-4) & "0000") +
(RESIZE(SIGNED(romodatao5),DA2_W-5) & "00000") +
(RESIZE(SIGNED(romodatao6),DA2_W-6) & "000000") +
(RESIZE(SIGNED(romodatao7),DA2_W-7) & "0000000") +
(RESIZE(SIGNED(romodatao8),DA2_W-8) & "00000000") +
(RESIZE(SIGNED(romodatao9),DA2_W-9) & "000000000") -
(RESIZE(SIGNED(romodatao10),DA2_W-10) & "0000000000"),
DA2_W)(DA2_W-1 downto 12));
end if;
-- after this sum databuf_reg is in range of -256 to 254 (min to max)
databuf_reg(0) <= latchbuf_reg(1)+RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7);
databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6);
databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5);
databuf_reg(4) <= latchbuf_reg(1)-RESIZE(SIGNED(ramdatao),RAMDATA_W+1);
databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7);
databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6);
databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5);
stage2_cnt_reg <= stage2_cnt_reg + 1;
-- 8 point input latched
stage2_reg <= '1';
end if;
end if;
-- write RAM
odv <= '1';
-- increment column counter
col_reg <= col_reg + 1;
-- finished processing one input row
if col_reg = N - 1 then
row_reg <= row_reg + 1;
end if;
end if;
if stage2_reg = '1' then
stage2_cnt_reg <= (others => '0');
col_reg <= (0=>'1',others => '0');
end if;
--------------------------------
--------------------------------
-- 2nd stage
--------------------------------
if stage2_cnt_reg < N then
if stage2_cnt_reg(0) = '0' then
dcto <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romedatao0),DA2_W) +
(RESIZE(SIGNED(romedatao1),DA2_W-1) & '0') +
(RESIZE(SIGNED(romedatao2),DA2_W-2) & "00") +
(RESIZE(SIGNED(romedatao3),DA2_W-3) & "000") +
(RESIZE(SIGNED(romedatao4),DA2_W-4) & "0000") +
(RESIZE(SIGNED(romedatao5),DA2_W-5) & "00000") +
(RESIZE(SIGNED(romedatao6),DA2_W-6) & "000000") +
(RESIZE(SIGNED(romedatao7),DA2_W-7) & "0000000") +
(RESIZE(SIGNED(romedatao8),DA2_W-8) & "00000000") +
(RESIZE(SIGNED(romedatao9),DA2_W-9) & "000000000") -
(RESIZE(SIGNED(romedatao10),DA2_W-10) & "0000000000"),
DA2_W)(DA2_W-1 downto 12));
else
dcto <= STD_LOGIC_VECTOR(RESIZE
(RESIZE(SIGNED(romodatao0),DA2_W) +
(RESIZE(SIGNED(romodatao1),DA2_W-1) & '0') +
(RESIZE(SIGNED(romodatao2),DA2_W-2) & "00") +
(RESIZE(SIGNED(romodatao3),DA2_W-3) & "000") +
(RESIZE(SIGNED(romodatao4),DA2_W-4) & "0000") +
(RESIZE(SIGNED(romodatao5),DA2_W-5) & "00000") +
(RESIZE(SIGNED(romodatao6),DA2_W-6) & "000000") +
(RESIZE(SIGNED(romodatao7),DA2_W-7) & "0000000") +
(RESIZE(SIGNED(romodatao8),DA2_W-8) & "00000000") +
(RESIZE(SIGNED(romodatao9),DA2_W-9) & "000000000") -
(RESIZE(SIGNED(romodatao10),DA2_W-10) & "0000000000"),
DA2_W)(DA2_W-1 downto 12));
----------------------------------
-- wait for new data
----------------------------------
-- one of ram buffers has new data, process it
if dataready = '1' and dataready_2_reg = '0' then
stage1_reg <= '1';
-- to account for 1T RAM delay, increment RAM address counter
colram_reg <= (others => '0');
colr_reg <= (0=>'1',others => '0');
datareadyack <= '1';
end if;
stage2_cnt_reg <= stage2_cnt_reg + 1;
-- write RAM
odv <= '1';
-- increment column counter
col_reg <= col_reg + 1;
-- finished processing one input row
if col_reg = N - 1 then
row_reg <= row_reg + 1;
end if;
----------------------------------
end if;
if stage2_reg = '1' then
stage2_cnt_reg <= (others => '0');
col_reg <= (0=>'1',others => '0');
end if;
--------------------------------
----------------------------------
-- wait for new data
----------------------------------
-- one of ram buffers has new data, process it
if dataready = '1' and dataready_2_reg = '0' then
stage1_reg <= '1';
-- to account for 1T RAM delay, increment RAM address counter
colram_reg <= (others => '0');
colr_reg <= (0=>'1',others => '0');
datareadyack <= '1';
end if;
----------------------------------
end if;
end process;
 
/trunk/source/DBUFCTL.VHD
51,24 → 51,26
memswitchrd_reg <= rmemsel;
 
MEM_SWITCH : process(rst,clk)
MEM_SWITCH : process(clk)
begin
if rst = '1' then
memswitchwr_reg <= '0'; -- initially mem 1 is selected
dataready <= '0';
elsif clk = '1' and clk'event then
 
memswitchwr_reg <= wmemsel;
if wmemsel /= memswitchwr_reg then
dataready <= '1';
end if;
if datareadyack = '1' then
dataready <= '0';
end if;
end if;
if clk = '1' and clk'event then
if rst = '1' then
memswitchwr_reg <= '0'; -- initially mem 1 is selected
dataready <= '0';
else
memswitchwr_reg <= wmemsel;
if wmemsel /= memswitchwr_reg then
dataready <= '1';
end if;
if datareadyack = '1' then
dataready <= '0';
end if;
end if;
end if;
end process;
end RTL;
/trunk/source/MDCT.VHD
206,7 → 206,7
------------------------------
-- DBUFCTL
------------------------------
component DBUFCTL is
component DBUFCTL
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
/trunk/source/MDCT_PKG.vhd
25,8 → 25,7
use ieee.numeric_std.all;
package MDCT_PKG is
constant CLK_FREQ_C : INTEGER := 10;
constant IP_W : INTEGER := 8;
constant OP_W : INTEGER := 12;
constant N : INTEGER := 8;
/trunk/source/xilinx/ram_xil.edn
623,5 → 623,5
))))
(design ram_xil (cellRef ram_xil (libraryRef test_lib))
(property X_CORE_INFO (string "blkmemdp_v6_1, Coregen 6.3i"))
(property PART (string "XC3S5000-4-fg900") (owner "Xilinx")))
(property PART (string "XC3S1000-ft256-4") (owner "Xilinx")))
)
/trunk/source/xilinx/rome_xil.edn
1,7 → 1,7
(edif test (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0))
(status (written (timeStamp 2006 4 20 20 18 13)
(status (written (timeStamp 2006 5 8 23 28 51)
(author "Xilinx, Inc.")
(program "Xilinx CORE Generator" (version "Xilinx CORE Generator 6.3i"))))
(program "Xilinx CORE Generator" (version "Xilinx CORE Generator 8.1i"))))
(comment "
This file is owned and controlled by Xilinx and must be used
solely for design, simulation, implementation and creation of
27,7 → 27,7
appliances, devices, or systems. Use in such applications are
expressly prohibited.
(c) Copyright 1995-2004 Xilinx, Inc.
(c) Copyright 1995-2005 Xilinx, Inc.
All rights reserved.
")
54,7 → 54,7
(comment "c_default_data = 0 ")
(comment "c_has_dpra = false ")
(comment "c_has_clk = true ")
(comment "c_enable_rlocs = true ")
(comment "c_enable_rlocs = false ")
(comment "c_generate_mif = true ")
(comment "c_has_qspo_ce = false ")
(comment "c_addr_width = 6 ")
138,1518 → 138,1406
(contents
(instance VCC (viewRef view_1 (cellRef VCC (libraryRef xilinxun))))
(instance GND (viewRef view_1 (cellRef GND (libraryRef xilinxun))))
(instance BU11
(instance BU7
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y1"))
(property INIT (string "0000"))
)
(instance BU12
(instance BU8
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y1"))
(property INIT (string "0000"))
)
(instance BU13
(instance BU9
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y1"))
)
(instance BU14
(instance BU10
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
(property INIT (string "0000"))
)
(instance BU15
(instance BU11
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
(property INIT (string "0000"))
)
(instance BU16
(instance BU12
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
)
(instance BU17
(instance BU13
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
)
(instance BU19
(instance BU15
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
)
(instance BU24
(instance BU17
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y3"))
(property INIT (string "0000"))
)
(instance BU25
(instance BU18
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y3"))
(property INIT (string "0000"))
)
(instance BU26
(instance BU19
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y3"))
)
(instance BU27
(instance BU20
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
(property INIT (string "0000"))
)
(instance BU28
(instance BU21
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
(property INIT (string "0000"))
)
(instance BU29
(instance BU22
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
)
(instance BU30
(instance BU23
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
)
(instance BU32
(instance BU25
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
)
(instance BU37
(instance BU27
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y5"))
(property INIT (string "0000"))
)
(instance BU38
(instance BU28
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y5"))
(property INIT (string "55aa"))
)
(instance BU39
(instance BU29
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y5"))
)
(instance BU40
(instance BU30
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
(property INIT (string "0000"))
)
(instance BU41
(instance BU31
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
(property INIT (string "3c3c"))
)
(instance BU42
(instance BU32
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
)
(instance BU43
(instance BU33
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
)
(instance BU45
(instance BU35
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
)
(instance BU50
(instance BU37
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y7"))
(property INIT (string "6996"))
)
(instance BU51
(instance BU38
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y7"))
(property INIT (string "00aa"))
)
(instance BU52
(instance BU39
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y7"))
)
(instance BU53
(instance BU40
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
(property INIT (string "6996"))
)
(instance BU54
(instance BU41
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
(property INIT (string "3030"))
)
(instance BU55
(instance BU42
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
)
(instance BU56
(instance BU43
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
)
(instance BU58
(instance BU45
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
)
(instance BU63
(instance BU47
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y9"))
(property INIT (string "7ee8"))
)
(instance BU64
(instance BU48
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y9"))
(property INIT (string "3c96"))
)
(instance BU65
(instance BU49
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y9"))
)
(instance BU66
(instance BU50
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
(property INIT (string "42d4"))
)
(instance BU67
(instance BU51
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
(property INIT (string "659a"))
)
(instance BU68
(instance BU52
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
)
(instance BU69
(instance BU53
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
)
(instance BU71
(instance BU55
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
)
(instance BU76
(instance BU57
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y11"))
(property INIT (string "e996"))
)
(instance BU77
(instance BU58
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y11"))
(property INIT (string "5924"))
)
(instance BU78
(instance BU59
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y11"))
)
(instance BU79
(instance BU60
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
(property INIT (string "2942"))
)
(instance BU80
(instance BU61
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
(property INIT (string "1c86"))
)
(instance BU81
(instance BU62
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
)
(instance BU82
(instance BU63
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
)
(instance BU84
(instance BU65
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
)
(instance BU89
(instance BU67
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y13"))
(property INIT (string "7ee8"))
)
(instance BU90
(instance BU68
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y13"))
(property INIT (string "5d04"))
)
(instance BU91
(instance BU69
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y13"))
)
(instance BU92
(instance BU70
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
(property INIT (string "4294"))
)
(instance BU93
(instance BU71
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
(property INIT (string "0c8e"))
)
(instance BU94
(instance BU72
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
)
(instance BU95
(instance BU73
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
)
(instance BU97
(instance BU75
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
)
(instance BU102
(instance BU77
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y15"))
(property INIT (string "e996"))
)
(instance BU103
(instance BU78
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y15"))
(property INIT (string "08ae"))
)
(instance BU104
(instance BU79
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y15"))
)
(instance BU105
(instance BU80
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
(property INIT (string "2942"))
)
(instance BU106
(instance BU81
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
(property INIT (string "30b2"))
)
(instance BU107
(instance BU82
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
)
(instance BU108
(instance BU83
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
)
(instance BU110
(instance BU85
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
)
(instance BU115
(instance BU87
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y17"))
(property INIT (string "177e"))
)
(instance BU116
(instance BU88
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y17"))
(property INIT (string "6138"))
)
(instance BU117
(instance BU89
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y17"))
)
(instance BU118
(instance BU90
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
(property INIT (string "2b02"))
)
(instance BU119
(instance BU91
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
(property INIT (string "5924"))
)
(instance BU120
(instance BU92
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
)
(instance BU121
(instance BU93
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
)
(instance BU123
(instance BU95
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
)
(instance BU128
(instance BU97
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y19"))
(property INIT (string "9668"))
)
(instance BU129
(instance BU98
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y19"))
(property INIT (string "7130"))
)
(instance BU130
(instance BU99
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y19"))
)
(instance BU131
(instance BU100
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
(property INIT (string "4294"))
)
(instance BU132
(instance BU101
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
(property INIT (string "5d04"))
)
(instance BU133
(instance BU102
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
)
(instance BU134
(instance BU103
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
)
(instance BU136
(instance BU105
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
)
(instance BU141
(instance BU107
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y21"))
(property INIT (string "8116"))
)
(instance BU142
(instance BU108
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y21"))
(property INIT (string "4d0c"))
)
(instance BU143
(instance BU109
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y21"))
)
(instance BU144
(instance BU110
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
(property INIT (string "2942"))
)
(instance BU145
(instance BU111
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
(property INIT (string "08ae"))
)
(instance BU146
(instance BU112
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
)
(instance BU147
(instance BU113
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
)
(instance BU149
(instance BU115
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
)
(instance BU154
(instance BU117
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y23"))
(property INIT (string "1668"))
)
(instance BU155
(instance BU118
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y23"))
(property INIT (string "18a6"))
)
(instance BU156
(instance BU119
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y23"))
)
(instance BU157
(instance BU120
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
(property INIT (string "4294"))
)
(instance BU158
(instance BU121
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
(property INIT (string "3492"))
)
(instance BU159
(instance BU122
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
)
(instance BU160
(instance BU123
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
)
(instance BU162
(instance BU125
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
)
(instance BU167
(instance BU127
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y25"))
(property INIT (string "e880"))
)
(instance BU168
(instance BU128
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y25"))
(property INIT (string "08ae"))
)
(instance BU169
(instance BU129
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y25"))
)
(instance BU170
(instance BU130
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
(property INIT (string "40d4"))
)
(instance BU171
(instance BU131
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
(property INIT (string "30b2"))
)
(instance BU172
(instance BU132
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
)
(instance BU173
(instance BU133
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
)
(instance BU175
(instance BU135
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
)
(instance BU180
(instance BU137
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y27"))
(property INIT (string "0000"))
)
(instance BU181
(instance BU138
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y27"))
(property INIT (string "08ae"))
)
(instance BU182
(instance BU139
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y27"))
)
(instance BU183
(instance BU140
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
(property INIT (string "40d4"))
)
(instance BU184
(instance BU141
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
(property INIT (string "30b2"))
)
(instance BU185
(instance BU142
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
)
(instance BU186
(instance BU143
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
)
(instance BU188
(instance BU145
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
)
(net N1
(joined
(portRef P (instanceRef VCC))
(portRef CE (instanceRef BU19))
(portRef CE (instanceRef BU32))
(portRef CE (instanceRef BU15))
(portRef CE (instanceRef BU25))
(portRef CE (instanceRef BU35))
(portRef CE (instanceRef BU45))
(portRef CE (instanceRef BU58))
(portRef CE (instanceRef BU71))
(portRef CE (instanceRef BU84))
(portRef CE (instanceRef BU97))
(portRef CE (instanceRef BU110))
(portRef CE (instanceRef BU123))
(portRef CE (instanceRef BU136))
(portRef CE (instanceRef BU149))
(portRef CE (instanceRef BU162))
(portRef CE (instanceRef BU175))
(portRef CE (instanceRef BU188))
(portRef CE (instanceRef BU55))
(portRef CE (instanceRef BU65))
(portRef CE (instanceRef BU75))
(portRef CE (instanceRef BU85))
(portRef CE (instanceRef BU95))
(portRef CE (instanceRef BU105))
(portRef CE (instanceRef BU115))
(portRef CE (instanceRef BU125))
(portRef CE (instanceRef BU135))
(portRef CE (instanceRef BU145))
)
)
(net (rename N2 "A(0)")
(joined
(portRef (member A 5))
(portRef I0 (instanceRef BU7))
(portRef I0 (instanceRef BU8))
(portRef I0 (instanceRef BU10))
(portRef I0 (instanceRef BU11))
(portRef I0 (instanceRef BU12))
(portRef I0 (instanceRef BU14))
(portRef I0 (instanceRef BU15))
(portRef I0 (instanceRef BU24))
(portRef I0 (instanceRef BU25))
(portRef I0 (instanceRef BU17))
(portRef I0 (instanceRef BU18))
(portRef I0 (instanceRef BU20))
(portRef I0 (instanceRef BU21))
(portRef I0 (instanceRef BU27))
(portRef I0 (instanceRef BU28))
(portRef I0 (instanceRef BU30))
(portRef I0 (instanceRef BU31))
(portRef I0 (instanceRef BU37))
(portRef I0 (instanceRef BU38))
(portRef I0 (instanceRef BU40))
(portRef I0 (instanceRef BU41))
(portRef I0 (instanceRef BU47))
(portRef I0 (instanceRef BU48))
(portRef I0 (instanceRef BU50))
(portRef I0 (instanceRef BU51))
(portRef I0 (instanceRef BU53))
(portRef I0 (instanceRef BU54))
(portRef I0 (instanceRef BU63))
(portRef I0 (instanceRef BU64))
(portRef I0 (instanceRef BU66))
(portRef I0 (instanceRef BU57))
(portRef I0 (instanceRef BU58))
(portRef I0 (instanceRef BU60))
(portRef I0 (instanceRef BU61))
(portRef I0 (instanceRef BU67))
(portRef I0 (instanceRef BU76))
(portRef I0 (instanceRef BU68))
(portRef I0 (instanceRef BU70))
(portRef I0 (instanceRef BU71))
(portRef I0 (instanceRef BU77))
(portRef I0 (instanceRef BU79))
(portRef I0 (instanceRef BU78))
(portRef I0 (instanceRef BU80))
(portRef I0 (instanceRef BU89))
(portRef I0 (instanceRef BU81))
(portRef I0 (instanceRef BU87))
(portRef I0 (instanceRef BU88))
(portRef I0 (instanceRef BU90))
(portRef I0 (instanceRef BU92))
(portRef I0 (instanceRef BU93))
(portRef I0 (instanceRef BU102))
(portRef I0 (instanceRef BU103))
(portRef I0 (instanceRef BU105))
(portRef I0 (instanceRef BU106))
(portRef I0 (instanceRef BU115))
(portRef I0 (instanceRef BU116))
(portRef I0 (instanceRef BU91))
(portRef I0 (instanceRef BU97))
(portRef I0 (instanceRef BU98))
(portRef I0 (instanceRef BU100))
(portRef I0 (instanceRef BU101))
(portRef I0 (instanceRef BU107))
(portRef I0 (instanceRef BU108))
(portRef I0 (instanceRef BU110))
(portRef I0 (instanceRef BU111))
(portRef I0 (instanceRef BU117))
(portRef I0 (instanceRef BU118))
(portRef I0 (instanceRef BU119))
(portRef I0 (instanceRef BU120))
(portRef I0 (instanceRef BU121))
(portRef I0 (instanceRef BU127))
(portRef I0 (instanceRef BU128))
(portRef I0 (instanceRef BU129))
(portRef I0 (instanceRef BU130))
(portRef I0 (instanceRef BU131))
(portRef I0 (instanceRef BU132))
(portRef I0 (instanceRef BU137))
(portRef I0 (instanceRef BU138))
(portRef I0 (instanceRef BU140))
(portRef I0 (instanceRef BU141))
(portRef I0 (instanceRef BU142))
(portRef I0 (instanceRef BU144))
(portRef I0 (instanceRef BU145))
(portRef I0 (instanceRef BU154))
(portRef I0 (instanceRef BU155))
(portRef I0 (instanceRef BU157))
(portRef I0 (instanceRef BU158))
(portRef I0 (instanceRef BU167))
(portRef I0 (instanceRef BU168))
(portRef I0 (instanceRef BU170))
(portRef I0 (instanceRef BU171))
(portRef I0 (instanceRef BU180))
(portRef I0 (instanceRef BU181))
(portRef I0 (instanceRef BU183))
(portRef I0 (instanceRef BU184))
)
)
(net (rename N3 "A(1)")
(joined
(portRef (member A 4))
(portRef I1 (instanceRef BU7))
(portRef I1 (instanceRef BU8))
(portRef I1 (instanceRef BU10))
(portRef I1 (instanceRef BU11))
(portRef I1 (instanceRef BU12))
(portRef I1 (instanceRef BU14))
(portRef I1 (instanceRef BU15))
(portRef I1 (instanceRef BU24))
(portRef I1 (instanceRef BU25))
(portRef I1 (instanceRef BU17))
(portRef I1 (instanceRef BU18))
(portRef I1 (instanceRef BU20))
(portRef I1 (instanceRef BU21))
(portRef I1 (instanceRef BU27))
(portRef I1 (instanceRef BU28))
(portRef I1 (instanceRef BU30))
(portRef I1 (instanceRef BU31))
(portRef I1 (instanceRef BU37))
(portRef I1 (instanceRef BU38))
(portRef I1 (instanceRef BU40))
(portRef I1 (instanceRef BU41))
(portRef I1 (instanceRef BU47))
(portRef I1 (instanceRef BU48))
(portRef I1 (instanceRef BU50))
(portRef I1 (instanceRef BU51))
(portRef I1 (instanceRef BU53))
(portRef I1 (instanceRef BU54))
(portRef I1 (instanceRef BU63))
(portRef I1 (instanceRef BU64))
(portRef I1 (instanceRef BU66))
(portRef I1 (instanceRef BU57))
(portRef I1 (instanceRef BU58))
(portRef I1 (instanceRef BU60))
(portRef I1 (instanceRef BU61))
(portRef I1 (instanceRef BU67))
(portRef I1 (instanceRef BU76))
(portRef I1 (instanceRef BU68))
(portRef I1 (instanceRef BU70))
(portRef I1 (instanceRef BU71))
(portRef I1 (instanceRef BU77))
(portRef I1 (instanceRef BU79))
(portRef I1 (instanceRef BU78))
(portRef I1 (instanceRef BU80))
(portRef I1 (instanceRef BU89))
(portRef I1 (instanceRef BU81))
(portRef I1 (instanceRef BU87))
(portRef I1 (instanceRef BU88))
(portRef I1 (instanceRef BU90))
(portRef I1 (instanceRef BU92))
(portRef I1 (instanceRef BU93))
(portRef I1 (instanceRef BU102))
(portRef I1 (instanceRef BU103))
(portRef I1 (instanceRef BU105))
(portRef I1 (instanceRef BU106))
(portRef I1 (instanceRef BU115))
(portRef I1 (instanceRef BU116))
(portRef I1 (instanceRef BU91))
(portRef I1 (instanceRef BU97))
(portRef I1 (instanceRef BU98))
(portRef I1 (instanceRef BU100))
(portRef I1 (instanceRef BU101))
(portRef I1 (instanceRef BU107))
(portRef I1 (instanceRef BU108))
(portRef I1 (instanceRef BU110))
(portRef I1 (instanceRef BU111))
(portRef I1 (instanceRef BU117))
(portRef I1 (instanceRef BU118))
(portRef I1 (instanceRef BU119))
(portRef I1 (instanceRef BU120))
(portRef I1 (instanceRef BU121))
(portRef I1 (instanceRef BU127))
(portRef I1 (instanceRef BU128))
(portRef I1 (instanceRef BU129))
(portRef I1 (instanceRef BU130))
(portRef I1 (instanceRef BU131))
(portRef I1 (instanceRef BU132))
(portRef I1 (instanceRef BU137))
(portRef I1 (instanceRef BU138))
(portRef I1 (instanceRef BU140))
(portRef I1 (instanceRef BU141))
(portRef I1 (instanceRef BU142))
(portRef I1 (instanceRef BU144))
(portRef I1 (instanceRef BU145))
(portRef I1 (instanceRef BU154))
(portRef I1 (instanceRef BU155))
(portRef I1 (instanceRef BU157))
(portRef I1 (instanceRef BU158))
(portRef I1 (instanceRef BU167))
(portRef I1 (instanceRef BU168))
(portRef I1 (instanceRef BU170))
(portRef I1 (instanceRef BU171))
(portRef I1 (instanceRef BU180))
(portRef I1 (instanceRef BU181))
(portRef I1 (instanceRef BU183))
(portRef I1 (instanceRef BU184))
)
)
(net (rename N4 "A(2)")
(joined
(portRef (member A 3))
(portRef I2 (instanceRef BU7))
(portRef I2 (instanceRef BU8))
(portRef I2 (instanceRef BU10))
(portRef I2 (instanceRef BU11))
(portRef I2 (instanceRef BU12))
(portRef I2 (instanceRef BU14))
(portRef I2 (instanceRef BU15))
(portRef I2 (instanceRef BU24))
(portRef I2 (instanceRef BU25))
(portRef I2 (instanceRef BU17))
(portRef I2 (instanceRef BU18))
(portRef I2 (instanceRef BU20))
(portRef I2 (instanceRef BU21))
(portRef I2 (instanceRef BU27))
(portRef I2 (instanceRef BU28))
(portRef I2 (instanceRef BU30))
(portRef I2 (instanceRef BU31))
(portRef I2 (instanceRef BU37))
(portRef I2 (instanceRef BU38))
(portRef I2 (instanceRef BU40))
(portRef I2 (instanceRef BU41))
(portRef I2 (instanceRef BU47))
(portRef I2 (instanceRef BU48))
(portRef I2 (instanceRef BU50))
(portRef I2 (instanceRef BU51))
(portRef I2 (instanceRef BU53))
(portRef I2 (instanceRef BU54))
(portRef I2 (instanceRef BU63))
(portRef I2 (instanceRef BU64))
(portRef I2 (instanceRef BU66))
(portRef I2 (instanceRef BU57))
(portRef I2 (instanceRef BU58))
(portRef I2 (instanceRef BU60))
(portRef I2 (instanceRef BU61))
(portRef I2 (instanceRef BU67))
(portRef I2 (instanceRef BU76))
(portRef I2 (instanceRef BU68))
(portRef I2 (instanceRef BU70))
(portRef I2 (instanceRef BU71))
(portRef I2 (instanceRef BU77))
(portRef I2 (instanceRef BU79))
(portRef I2 (instanceRef BU78))
(portRef I2 (instanceRef BU80))
(portRef I2 (instanceRef BU89))
(portRef I2 (instanceRef BU81))
(portRef I2 (instanceRef BU87))
(portRef I2 (instanceRef BU88))
(portRef I2 (instanceRef BU90))
(portRef I2 (instanceRef BU92))
(portRef I2 (instanceRef BU93))
(portRef I2 (instanceRef BU102))
(portRef I2 (instanceRef BU103))
(portRef I2 (instanceRef BU105))
(portRef I2 (instanceRef BU106))
(portRef I2 (instanceRef BU115))
(portRef I2 (instanceRef BU116))
(portRef I2 (instanceRef BU91))
(portRef I2 (instanceRef BU97))
(portRef I2 (instanceRef BU98))
(portRef I2 (instanceRef BU100))
(portRef I2 (instanceRef BU101))
(portRef I2 (instanceRef BU107))
(portRef I2 (instanceRef BU108))
(portRef I2 (instanceRef BU110))
(portRef I2 (instanceRef BU111))
(portRef I2 (instanceRef BU117))
(portRef I2 (instanceRef BU118))
(portRef I2 (instanceRef BU119))
(portRef I2 (instanceRef BU120))
(portRef I2 (instanceRef BU121))
(portRef I2 (instanceRef BU127))
(portRef I2 (instanceRef BU128))
(portRef I2 (instanceRef BU129))
(portRef I2 (instanceRef BU130))
(portRef I2 (instanceRef BU131))
(portRef I2 (instanceRef BU132))
(portRef I2 (instanceRef BU137))
(portRef I2 (instanceRef BU138))
(portRef I2 (instanceRef BU140))
(portRef I2 (instanceRef BU141))
(portRef I2 (instanceRef BU142))
(portRef I2 (instanceRef BU144))
(portRef I2 (instanceRef BU145))
(portRef I2 (instanceRef BU154))
(portRef I2 (instanceRef BU155))
(portRef I2 (instanceRef BU157))
(portRef I2 (instanceRef BU158))
(portRef I2 (instanceRef BU167))
(portRef I2 (instanceRef BU168))
(portRef I2 (instanceRef BU170))
(portRef I2 (instanceRef BU171))
(portRef I2 (instanceRef BU180))
(portRef I2 (instanceRef BU181))
(portRef I2 (instanceRef BU183))
(portRef I2 (instanceRef BU184))
)
)
(net (rename N5 "A(3)")
(joined
(portRef (member A 2))
(portRef I3 (instanceRef BU7))
(portRef I3 (instanceRef BU8))
(portRef I3 (instanceRef BU10))
(portRef I3 (instanceRef BU11))
(portRef I3 (instanceRef BU12))
(portRef I3 (instanceRef BU14))
(portRef I3 (instanceRef BU15))
(portRef I3 (instanceRef BU24))
(portRef I3 (instanceRef BU25))
(portRef I3 (instanceRef BU17))
(portRef I3 (instanceRef BU18))
(portRef I3 (instanceRef BU20))
(portRef I3 (instanceRef BU21))
(portRef I3 (instanceRef BU27))
(portRef I3 (instanceRef BU28))
(portRef I3 (instanceRef BU30))
(portRef I3 (instanceRef BU31))
(portRef I3 (instanceRef BU37))
(portRef I3 (instanceRef BU38))
(portRef I3 (instanceRef BU40))
(portRef I3 (instanceRef BU41))
(portRef I3 (instanceRef BU47))
(portRef I3 (instanceRef BU48))
(portRef I3 (instanceRef BU50))
(portRef I3 (instanceRef BU51))
(portRef I3 (instanceRef BU53))
(portRef I3 (instanceRef BU54))
(portRef I3 (instanceRef BU63))
(portRef I3 (instanceRef BU64))
(portRef I3 (instanceRef BU66))
(portRef I3 (instanceRef BU57))
(portRef I3 (instanceRef BU58))
(portRef I3 (instanceRef BU60))
(portRef I3 (instanceRef BU61))
(portRef I3 (instanceRef BU67))
(portRef I3 (instanceRef BU76))
(portRef I3 (instanceRef BU68))
(portRef I3 (instanceRef BU70))
(portRef I3 (instanceRef BU71))
(portRef I3 (instanceRef BU77))
(portRef I3 (instanceRef BU79))
(portRef I3 (instanceRef BU78))
(portRef I3 (instanceRef BU80))
(portRef I3 (instanceRef BU89))
(portRef I3 (instanceRef BU81))
(portRef I3 (instanceRef BU87))
(portRef I3 (instanceRef BU88))
(portRef I3 (instanceRef BU90))
(portRef I3 (instanceRef BU92))
(portRef I3 (instanceRef BU93))
(portRef I3 (instanceRef BU102))
(portRef I3 (instanceRef BU103))
(portRef I3 (instanceRef BU105))
(portRef I3 (instanceRef BU106))
(portRef I3 (instanceRef BU115))
(portRef I3 (instanceRef BU116))
(portRef I3 (instanceRef BU91))
(portRef I3 (instanceRef BU97))
(portRef I3 (instanceRef BU98))
(portRef I3 (instanceRef BU100))
(portRef I3 (instanceRef BU101))
(portRef I3 (instanceRef BU107))
(portRef I3 (instanceRef BU108))
(portRef I3 (instanceRef BU110))
(portRef I3 (instanceRef BU111))
(portRef I3 (instanceRef BU117))
(portRef I3 (instanceRef BU118))
(portRef I3 (instanceRef BU119))
(portRef I3 (instanceRef BU120))
(portRef I3 (instanceRef BU121))
(portRef I3 (instanceRef BU127))
(portRef I3 (instanceRef BU128))
(portRef I3 (instanceRef BU129))
(portRef I3 (instanceRef BU130))
(portRef I3 (instanceRef BU131))
(portRef I3 (instanceRef BU132))
(portRef I3 (instanceRef BU137))
(portRef I3 (instanceRef BU138))
(portRef I3 (instanceRef BU140))
(portRef I3 (instanceRef BU141))
(portRef I3 (instanceRef BU142))
(portRef I3 (instanceRef BU144))
(portRef I3 (instanceRef BU145))
(portRef I3 (instanceRef BU154))
(portRef I3 (instanceRef BU155))
(portRef I3 (instanceRef BU157))
(portRef I3 (instanceRef BU158))
(portRef I3 (instanceRef BU167))
(portRef I3 (instanceRef BU168))
(portRef I3 (instanceRef BU170))
(portRef I3 (instanceRef BU171))
(portRef I3 (instanceRef BU180))
(portRef I3 (instanceRef BU181))
(portRef I3 (instanceRef BU183))
(portRef I3 (instanceRef BU184))
)
)
(net (rename N6 "A(4)")
(joined
(portRef (member A 1))
(portRef S (instanceRef BU13))
(portRef S (instanceRef BU16))
(portRef S (instanceRef BU26))
(portRef S (instanceRef BU9))
(portRef S (instanceRef BU12))
(portRef S (instanceRef BU19))
(portRef S (instanceRef BU22))
(portRef S (instanceRef BU29))
(portRef S (instanceRef BU32))
(portRef S (instanceRef BU39))
(portRef S (instanceRef BU42))
(portRef S (instanceRef BU49))
(portRef S (instanceRef BU52))
(portRef S (instanceRef BU55))
(portRef S (instanceRef BU65))
(portRef S (instanceRef BU68))
(portRef S (instanceRef BU78))
(portRef S (instanceRef BU81))
(portRef S (instanceRef BU91))
(portRef S (instanceRef BU94))
(portRef S (instanceRef BU104))
(portRef S (instanceRef BU107))
(portRef S (instanceRef BU117))
(portRef S (instanceRef BU120))
(portRef S (instanceRef BU130))
(portRef S (instanceRef BU133))
(portRef S (instanceRef BU143))
(portRef S (instanceRef BU146))
(portRef S (instanceRef BU156))
(portRef S (instanceRef BU159))
(portRef S (instanceRef BU169))
(portRef S (instanceRef BU172))
(portRef S (instanceRef BU182))
(portRef S (instanceRef BU185))
(portRef S (instanceRef BU59))
(portRef S (instanceRef BU62))
(portRef S (instanceRef BU69))
(portRef S (instanceRef BU72))
(portRef S (instanceRef BU79))
(portRef S (instanceRef BU82))
(portRef S (instanceRef BU89))
(portRef S (instanceRef BU92))
(portRef S (instanceRef BU99))
(portRef S (instanceRef BU102))
(portRef S (instanceRef BU109))
(portRef S (instanceRef BU112))
(portRef S (instanceRef BU119))
(portRef S (instanceRef BU122))
(portRef S (instanceRef BU129))
(portRef S (instanceRef BU132))
(portRef S (instanceRef BU139))
(portRef S (instanceRef BU142))
)
)
(net (rename N7 "A(5)")
(joined
(portRef (member A 0))
(portRef S (instanceRef BU17))
(portRef S (instanceRef BU30))
(portRef S (instanceRef BU13))
(portRef S (instanceRef BU23))
(portRef S (instanceRef BU33))
(portRef S (instanceRef BU43))
(portRef S (instanceRef BU56))
(portRef S (instanceRef BU69))
(portRef S (instanceRef BU82))
(portRef S (instanceRef BU95))
(portRef S (instanceRef BU108))
(portRef S (instanceRef BU121))
(portRef S (instanceRef BU134))
(portRef S (instanceRef BU147))
(portRef S (instanceRef BU160))
(portRef S (instanceRef BU173))
(portRef S (instanceRef BU186))
(portRef S (instanceRef BU53))
(portRef S (instanceRef BU63))
(portRef S (instanceRef BU73))
(portRef S (instanceRef BU83))
(portRef S (instanceRef BU93))
(portRef S (instanceRef BU103))
(portRef S (instanceRef BU113))
(portRef S (instanceRef BU123))
(portRef S (instanceRef BU133))
(portRef S (instanceRef BU143))
)
)
(net (rename N8 "CLK")
(joined
(portRef CLK)
(portRef C (instanceRef BU19))
(portRef C (instanceRef BU32))
(portRef C (instanceRef BU15))
(portRef C (instanceRef BU25))
(portRef C (instanceRef BU35))
(portRef C (instanceRef BU45))
(portRef C (instanceRef BU58))
(portRef C (instanceRef BU71))
(portRef C (instanceRef BU84))
(portRef C (instanceRef BU97))
(portRef C (instanceRef BU110))
(portRef C (instanceRef BU123))
(portRef C (instanceRef BU136))
(portRef C (instanceRef BU149))
(portRef C (instanceRef BU162))
(portRef C (instanceRef BU175))
(portRef C (instanceRef BU188))
(portRef C (instanceRef BU55))
(portRef C (instanceRef BU65))
(portRef C (instanceRef BU75))
(portRef C (instanceRef BU85))
(portRef C (instanceRef BU95))
(portRef C (instanceRef BU105))
(portRef C (instanceRef BU115))
(portRef C (instanceRef BU125))
(portRef C (instanceRef BU135))
(portRef C (instanceRef BU145))
)
)
(net (rename N9 "QSPO(0)")
(joined
(portRef (member QSPO 13))
(portRef Q (instanceRef BU19))
(portRef Q (instanceRef BU15))
)
)
(net (rename N10 "QSPO(1)")
(joined
(portRef (member QSPO 12))
(portRef Q (instanceRef BU32))
(portRef Q (instanceRef BU25))
)
)
(net (rename N11 "QSPO(2)")
(joined
(portRef (member QSPO 11))
(portRef Q (instanceRef BU45))
(portRef Q (instanceRef BU35))
)
)
(net (rename N12 "QSPO(3)")
(joined
(portRef (member QSPO 10))
(portRef Q (instanceRef BU58))
(portRef Q (instanceRef BU45))
)
)
(net (rename N13 "QSPO(4)")
(joined
(portRef (member QSPO 9))
(portRef Q (instanceRef BU71))
(portRef Q (instanceRef BU55))
)
)
(net (rename N14 "QSPO(5)")
(joined
(portRef (member QSPO 8))
(portRef Q (instanceRef BU84))
(portRef Q (instanceRef BU65))
)
)
(net (rename N15 "QSPO(6)")
(joined
(portRef (member QSPO 7))
(portRef Q (instanceRef BU97))
(portRef Q (instanceRef BU75))
)
)
(net (rename N16 "QSPO(7)")
(joined
(portRef (member QSPO 6))
(portRef Q (instanceRef BU110))
(portRef Q (instanceRef BU85))
)
)
(net (rename N17 "QSPO(8)")
(joined
(portRef (member QSPO 5))
(portRef Q (instanceRef BU123))
(portRef Q (instanceRef BU95))
)
)
(net (rename N18 "QSPO(9)")
(joined
(portRef (member QSPO 4))
(portRef Q (instanceRef BU136))
(portRef Q (instanceRef BU105))
)
)
(net (rename N19 "QSPO(10)")
(joined
(portRef (member QSPO 3))
(portRef Q (instanceRef BU149))
(portRef Q (instanceRef BU115))
)
)
(net (rename N20 "QSPO(11)")
(joined
(portRef (member QSPO 2))
(portRef Q (instanceRef BU162))
(portRef Q (instanceRef BU125))
)
)
(net (rename N21 "QSPO(12)")
(joined
(portRef (member QSPO 1))
(portRef Q (instanceRef BU175))
(portRef Q (instanceRef BU135))
)
)
(net (rename N22 "QSPO(13)")
(joined
(portRef (member QSPO 0))
(portRef Q (instanceRef BU188))
(portRef Q (instanceRef BU145))
)
)
(net N70
(joined
(portRef O (instanceRef BU17))
(portRef D (instanceRef BU19))
(portRef O (instanceRef BU13))
(portRef D (instanceRef BU15))
)
)
(net N71
(joined
(portRef O (instanceRef BU30))
(portRef D (instanceRef BU32))
(portRef O (instanceRef BU23))
(portRef D (instanceRef BU25))
)
)
(net N72
(joined
(portRef O (instanceRef BU43))
(portRef D (instanceRef BU45))
(portRef O (instanceRef BU33))
(portRef D (instanceRef BU35))
)
)
(net N73
(joined
(portRef O (instanceRef BU56))
(portRef D (instanceRef BU58))
(portRef O (instanceRef BU43))
(portRef D (instanceRef BU45))
)
)
(net N74
(joined
(portRef O (instanceRef BU69))
(portRef D (instanceRef BU71))
(portRef O (instanceRef BU53))
(portRef D (instanceRef BU55))
)
)
(net N75
(joined
(portRef O (instanceRef BU82))
(portRef D (instanceRef BU84))
(portRef O (instanceRef BU63))
(portRef D (instanceRef BU65))
)
)
(net N76
(joined
(portRef O (instanceRef BU95))
(portRef D (instanceRef BU97))
(portRef O (instanceRef BU73))
(portRef D (instanceRef BU75))
)
)
(net N77
(joined
(portRef O (instanceRef BU108))
(portRef D (instanceRef BU110))
(portRef O (instanceRef BU83))
(portRef D (instanceRef BU85))
)
)
(net N78
(joined
(portRef O (instanceRef BU121))
(portRef D (instanceRef BU123))
(portRef O (instanceRef BU93))
(portRef D (instanceRef BU95))
)
)
(net N79
(joined
(portRef O (instanceRef BU134))
(portRef D (instanceRef BU136))
(portRef O (instanceRef BU103))
(portRef D (instanceRef BU105))
)
)
(net N80
(joined
(portRef O (instanceRef BU147))
(portRef D (instanceRef BU149))
(portRef O (instanceRef BU113))
(portRef D (instanceRef BU115))
)
)
(net N81
(joined
(portRef O (instanceRef BU160))
(portRef D (instanceRef BU162))
(portRef O (instanceRef BU123))
(portRef D (instanceRef BU125))
)
)
(net N82
(joined
(portRef O (instanceRef BU173))
(portRef D (instanceRef BU175))
(portRef O (instanceRef BU133))
(portRef D (instanceRef BU135))
)
)
(net N83
(joined
(portRef O (instanceRef BU186))
(portRef D (instanceRef BU188))
(portRef O (instanceRef BU143))
(portRef D (instanceRef BU145))
)
)
(net N85
(joined
(portRef O (instanceRef BU13))
(portRef I0 (instanceRef BU17))
(portRef O (instanceRef BU9))
(portRef I0 (instanceRef BU13))
)
)
(net N86
(joined
(portRef O (instanceRef BU16))
(portRef I1 (instanceRef BU17))
(portRef O (instanceRef BU12))
(portRef I1 (instanceRef BU13))
)
)
(net N87
(joined
(portRef O (instanceRef BU11))
(portRef I0 (instanceRef BU13))
(portRef O (instanceRef BU7))
(portRef I0 (instanceRef BU9))
)
)
(net N88
(joined
(portRef O (instanceRef BU12))
(portRef I1 (instanceRef BU13))
(portRef O (instanceRef BU8))
(portRef I1 (instanceRef BU9))
)
)
(net N89
(joined
(portRef O (instanceRef BU14))
(portRef I0 (instanceRef BU16))
(portRef O (instanceRef BU10))
(portRef I0 (instanceRef BU12))
)
)
(net N90
(joined
(portRef O (instanceRef BU15))
(portRef I1 (instanceRef BU16))
(portRef O (instanceRef BU11))
(portRef I1 (instanceRef BU12))
)
)
(net N93
(joined
(portRef O (instanceRef BU26))
(portRef I0 (instanceRef BU30))
(portRef O (instanceRef BU19))
(portRef I0 (instanceRef BU23))
)
)
(net N94
(joined
(portRef O (instanceRef BU29))
(portRef I1 (instanceRef BU30))
(portRef O (instanceRef BU22))
(portRef I1 (instanceRef BU23))
)
)
(net N95
(joined
(portRef O (instanceRef BU24))
(portRef I0 (instanceRef BU26))
(portRef O (instanceRef BU17))
(portRef I0 (instanceRef BU19))
)
)
(net N96
(joined
(portRef O (instanceRef BU25))
(portRef I1 (instanceRef BU26))
(portRef O (instanceRef BU18))
(portRef I1 (instanceRef BU19))
)
)
(net N97
(joined
(portRef O (instanceRef BU27))
(portRef I0 (instanceRef BU29))
(portRef O (instanceRef BU20))
(portRef I0 (instanceRef BU22))
)
)
(net N98
(joined
(portRef O (instanceRef BU28))
(portRef I1 (instanceRef BU29))
(portRef O (instanceRef BU21))
(portRef I1 (instanceRef BU22))
)
)
(net N101
(joined
(portRef O (instanceRef BU39))
(portRef I0 (instanceRef BU43))
(portRef O (instanceRef BU29))
(portRef I0 (instanceRef BU33))
)
)
(net N102
(joined
(portRef O (instanceRef BU42))
(portRef I1 (instanceRef BU43))
(portRef O (instanceRef BU32))
(portRef I1 (instanceRef BU33))
)
)
(net N103
(joined
(portRef O (instanceRef BU37))
(portRef I0 (instanceRef BU39))
(portRef O (instanceRef BU27))
(portRef I0 (instanceRef BU29))
)
)
(net N104
(joined
(portRef O (instanceRef BU38))
(portRef I1 (instanceRef BU39))
(portRef O (instanceRef BU28))
(portRef I1 (instanceRef BU29))
)
)
(net N105
(joined
(portRef O (instanceRef BU40))
(portRef I0 (instanceRef BU42))
(portRef O (instanceRef BU30))
(portRef I0 (instanceRef BU32))
)
)
(net N106
(joined
(portRef O (instanceRef BU41))
(portRef I1 (instanceRef BU42))
(portRef O (instanceRef BU31))
(portRef I1 (instanceRef BU32))
)
)
(net N109
(joined
(portRef O (instanceRef BU52))
(portRef I0 (instanceRef BU56))
(portRef O (instanceRef BU39))
(portRef I0 (instanceRef BU43))
)
)
(net N110
(joined
(portRef O (instanceRef BU55))
(portRef I1 (instanceRef BU56))
(portRef O (instanceRef BU42))
(portRef I1 (instanceRef BU43))
)
)
(net N111
(joined
(portRef O (instanceRef BU50))
(portRef I0 (instanceRef BU52))
(portRef O (instanceRef BU37))
(portRef I0 (instanceRef BU39))
)
)
(net N112
(joined
(portRef O (instanceRef BU51))
(portRef I1 (instanceRef BU52))
(portRef O (instanceRef BU38))
(portRef I1 (instanceRef BU39))
)
)
(net N113
(joined
(portRef O (instanceRef BU53))
(portRef I0 (instanceRef BU55))
(portRef O (instanceRef BU40))
(portRef I0 (instanceRef BU42))
)
)
(net N114
(joined
(portRef O (instanceRef BU54))
(portRef I1 (instanceRef BU55))
(portRef O (instanceRef BU41))
(portRef I1 (instanceRef BU42))
)
)
(net N117
(joined
(portRef O (instanceRef BU65))
(portRef I0 (instanceRef BU69))
(portRef O (instanceRef BU49))
(portRef I0 (instanceRef BU53))
)
)
(net N118
(joined
(portRef O (instanceRef BU68))
(portRef I1 (instanceRef BU69))
(portRef O (instanceRef BU52))
(portRef I1 (instanceRef BU53))
)
)
(net N119
(joined
(portRef O (instanceRef BU63))
(portRef I0 (instanceRef BU65))
(portRef O (instanceRef BU47))
(portRef I0 (instanceRef BU49))
)
)
(net N120
(joined
(portRef O (instanceRef BU64))
(portRef I1 (instanceRef BU65))
(portRef O (instanceRef BU48))
(portRef I1 (instanceRef BU49))
)
)
(net N121
(joined
(portRef O (instanceRef BU66))
(portRef I0 (instanceRef BU68))
(portRef O (instanceRef BU50))
(portRef I0 (instanceRef BU52))
)
)
(net N122
(joined
(portRef O (instanceRef BU67))
(portRef I1 (instanceRef BU68))
(portRef O (instanceRef BU51))
(portRef I1 (instanceRef BU52))
)
)
(net N125
(joined
(portRef O (instanceRef BU78))
(portRef I0 (instanceRef BU82))
(portRef O (instanceRef BU59))
(portRef I0 (instanceRef BU63))
)
)
(net N126
(joined
(portRef O (instanceRef BU81))
(portRef I1 (instanceRef BU82))
(portRef O (instanceRef BU62))
(portRef I1 (instanceRef BU63))
)
)
(net N127
(joined
(portRef O (instanceRef BU76))
(portRef I0 (instanceRef BU78))
(portRef O (instanceRef BU57))
(portRef I0 (instanceRef BU59))
)
)
(net N128
(joined
(portRef O (instanceRef BU77))
(portRef I1 (instanceRef BU78))
(portRef O (instanceRef BU58))
(portRef I1 (instanceRef BU59))
)
)
(net N129
(joined
(portRef O (instanceRef BU79))
(portRef I0 (instanceRef BU81))
(portRef O (instanceRef BU60))
(portRef I0 (instanceRef BU62))
)
)
(net N130
(joined
(portRef O (instanceRef BU80))
(portRef I1 (instanceRef BU81))
(portRef O (instanceRef BU61))
(portRef I1 (instanceRef BU62))
)
)
(net N133
(joined
(portRef O (instanceRef BU91))
(portRef I0 (instanceRef BU95))
(portRef O (instanceRef BU69))
(portRef I0 (instanceRef BU73))
)
)
(net N134
(joined
(portRef O (instanceRef BU94))
(portRef I1 (instanceRef BU95))
(portRef O (instanceRef BU72))
(portRef I1 (instanceRef BU73))
)
)
(net N135
(joined
(portRef O (instanceRef BU89))
(portRef I0 (instanceRef BU91))
(portRef O (instanceRef BU67))
(portRef I0 (instanceRef BU69))
)
)
(net N136
(joined
(portRef O (instanceRef BU90))
(portRef I1 (instanceRef BU91))
(portRef O (instanceRef BU68))
(portRef I1 (instanceRef BU69))
)
)
(net N137
(joined
(portRef O (instanceRef BU92))
(portRef I0 (instanceRef BU94))
(portRef O (instanceRef BU70))
(portRef I0 (instanceRef BU72))
)
)
(net N138
(joined
(portRef O (instanceRef BU93))
(portRef I1 (instanceRef BU94))
(portRef O (instanceRef BU71))
(portRef I1 (instanceRef BU72))
)
)
(net N141
(joined
(portRef O (instanceRef BU104))
(portRef I0 (instanceRef BU108))
(portRef O (instanceRef BU79))
(portRef I0 (instanceRef BU83))
)
)
(net N142
(joined
(portRef O (instanceRef BU107))
(portRef I1 (instanceRef BU108))
(portRef O (instanceRef BU82))
(portRef I1 (instanceRef BU83))
)
)
(net N143
(joined
(portRef O (instanceRef BU102))
(portRef I0 (instanceRef BU104))
(portRef O (instanceRef BU77))
(portRef I0 (instanceRef BU79))
)
)
(net N144
(joined
(portRef O (instanceRef BU103))
(portRef I1 (instanceRef BU104))
(portRef O (instanceRef BU78))
(portRef I1 (instanceRef BU79))
)
)
(net N145
(joined
(portRef O (instanceRef BU105))
(portRef I0 (instanceRef BU107))
(portRef O (instanceRef BU80))
(portRef I0 (instanceRef BU82))
)
)
(net N146
(joined
(portRef O (instanceRef BU106))
(portRef I1 (instanceRef BU107))
(portRef O (instanceRef BU81))
(portRef I1 (instanceRef BU82))
)
)
(net N149
(joined
(portRef O (instanceRef BU117))
(portRef I0 (instanceRef BU121))
(portRef O (instanceRef BU89))
(portRef I0 (instanceRef BU93))
)
)
(net N150
(joined
(portRef O (instanceRef BU120))
(portRef I1 (instanceRef BU121))
(portRef O (instanceRef BU92))
(portRef I1 (instanceRef BU93))
)
)
(net N151
(joined
(portRef O (instanceRef BU115))
(portRef I0 (instanceRef BU117))
(portRef O (instanceRef BU87))
(portRef I0 (instanceRef BU89))
)
)
(net N152
(joined
(portRef O (instanceRef BU116))
(portRef I1 (instanceRef BU117))
(portRef O (instanceRef BU88))
(portRef I1 (instanceRef BU89))
)
)
(net N153
(joined
(portRef O (instanceRef BU118))
(portRef I0 (instanceRef BU120))
(portRef O (instanceRef BU90))
(portRef I0 (instanceRef BU92))
)
)
(net N154
(joined
(portRef O (instanceRef BU119))
(portRef I1 (instanceRef BU120))
(portRef O (instanceRef BU91))
(portRef I1 (instanceRef BU92))
)
)
(net N157
(joined
(portRef O (instanceRef BU130))
(portRef I0 (instanceRef BU134))
(portRef O (instanceRef BU99))
(portRef I0 (instanceRef BU103))
)
)
(net N158
(joined
(portRef O (instanceRef BU133))
(portRef I1 (instanceRef BU134))
(portRef O (instanceRef BU102))
(portRef I1 (instanceRef BU103))
)
)
(net N159
(joined
(portRef O (instanceRef BU128))
(portRef I0 (instanceRef BU130))
(portRef O (instanceRef BU97))
(portRef I0 (instanceRef BU99))
)
)
(net N160
(joined
(portRef O (instanceRef BU129))
(portRef I1 (instanceRef BU130))
(portRef O (instanceRef BU98))
(portRef I1 (instanceRef BU99))
)
)
(net N161
(joined
(portRef O (instanceRef BU131))
(portRef I0 (instanceRef BU133))
(portRef O (instanceRef BU100))
(portRef I0 (instanceRef BU102))
)
)
(net N162
(joined
(portRef O (instanceRef BU132))
(portRef I1 (instanceRef BU133))
(portRef O (instanceRef BU101))
(portRef I1 (instanceRef BU102))
)
)
(net N165
(joined
(portRef O (instanceRef BU143))
(portRef I0 (instanceRef BU147))
(portRef O (instanceRef BU109))
(portRef I0 (instanceRef BU113))
)
)
(net N166
(joined
(portRef O (instanceRef BU146))
(portRef I1 (instanceRef BU147))
(portRef O (instanceRef BU112))
(portRef I1 (instanceRef BU113))
)
)
(net N167
(joined
(portRef O (instanceRef BU141))
(portRef I0 (instanceRef BU143))
(portRef O (instanceRef BU107))
(portRef I0 (instanceRef BU109))
)
)
(net N168
(joined
(portRef O (instanceRef BU142))
(portRef I1 (instanceRef BU143))
(portRef O (instanceRef BU108))
(portRef I1 (instanceRef BU109))
)
)
(net N169
(joined
(portRef O (instanceRef BU144))
(portRef I0 (instanceRef BU146))
(portRef O (instanceRef BU110))
(portRef I0 (instanceRef BU112))
)
)
(net N170
(joined
(portRef O (instanceRef BU145))
(portRef I1 (instanceRef BU146))
(portRef O (instanceRef BU111))
(portRef I1 (instanceRef BU112))
)
)
(net N173
(joined
(portRef O (instanceRef BU156))
(portRef I0 (instanceRef BU160))
(portRef O (instanceRef BU119))
(portRef I0 (instanceRef BU123))
)
)
(net N174
(joined
(portRef O (instanceRef BU159))
(portRef I1 (instanceRef BU160))
(portRef O (instanceRef BU122))
(portRef I1 (instanceRef BU123))
)
)
(net N175
(joined
(portRef O (instanceRef BU154))
(portRef I0 (instanceRef BU156))
(portRef O (instanceRef BU117))
(portRef I0 (instanceRef BU119))
)
)
(net N176
(joined
(portRef O (instanceRef BU155))
(portRef I1 (instanceRef BU156))
(portRef O (instanceRef BU118))
(portRef I1 (instanceRef BU119))
)
)
(net N177
(joined
(portRef O (instanceRef BU157))
(portRef I0 (instanceRef BU159))
(portRef O (instanceRef BU120))
(portRef I0 (instanceRef BU122))
)
)
(net N178
(joined
(portRef O (instanceRef BU158))
(portRef I1 (instanceRef BU159))
(portRef O (instanceRef BU121))
(portRef I1 (instanceRef BU122))
)
)
(net N181
(joined
(portRef O (instanceRef BU169))
(portRef I0 (instanceRef BU173))
(portRef O (instanceRef BU129))
(portRef I0 (instanceRef BU133))
)
)
(net N182
(joined
(portRef O (instanceRef BU172))
(portRef I1 (instanceRef BU173))
(portRef O (instanceRef BU132))
(portRef I1 (instanceRef BU133))
)
)
(net N183
(joined
(portRef O (instanceRef BU167))
(portRef I0 (instanceRef BU169))
(portRef O (instanceRef BU127))
(portRef I0 (instanceRef BU129))
)
)
(net N184
(joined
(portRef O (instanceRef BU168))
(portRef I1 (instanceRef BU169))
(portRef O (instanceRef BU128))
(portRef I1 (instanceRef BU129))
)
)
(net N185
(joined
(portRef O (instanceRef BU170))
(portRef I0 (instanceRef BU172))
(portRef O (instanceRef BU130))
(portRef I0 (instanceRef BU132))
)
)
(net N186
(joined
(portRef O (instanceRef BU171))
(portRef I1 (instanceRef BU172))
(portRef O (instanceRef BU131))
(portRef I1 (instanceRef BU132))
)
)
(net N189
(joined
(portRef O (instanceRef BU182))
(portRef I0 (instanceRef BU186))
(portRef O (instanceRef BU139))
(portRef I0 (instanceRef BU143))
)
)
(net N190
(joined
(portRef O (instanceRef BU185))
(portRef I1 (instanceRef BU186))
(portRef O (instanceRef BU142))
(portRef I1 (instanceRef BU143))
)
)
(net N191
(joined
(portRef O (instanceRef BU180))
(portRef I0 (instanceRef BU182))
(portRef O (instanceRef BU137))
(portRef I0 (instanceRef BU139))
)
)
(net N192
(joined
(portRef O (instanceRef BU181))
(portRef I1 (instanceRef BU182))
(portRef O (instanceRef BU138))
(portRef I1 (instanceRef BU139))
)
)
(net N193
(joined
(portRef O (instanceRef BU183))
(portRef I0 (instanceRef BU185))
(portRef O (instanceRef BU140))
(portRef I0 (instanceRef BU142))
)
)
(net N194
(joined
(portRef O (instanceRef BU184))
(portRef I1 (instanceRef BU185))
(portRef O (instanceRef BU141))
(portRef I1 (instanceRef BU142))
)
)
))))
(design rome_xil (cellRef rome_xil (libraryRef test_lib))
(property X_CORE_INFO (string "C_DIST_MEM_V7_1, Coregen 6.3i"))
(property PART (string "XC3S5000-4-fg900") (owner "Xilinx")))
(property X_CORE_INFO (string "C_DIST_MEM_V7_1, Coregen 8.1i"))
(property PART (string "xc3s1000-ft256-4") (owner "Xilinx")))
)
/trunk/source/xilinx/romo_xil.vhd
23,23 → 23,23
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2004 Xilinx, Inc. --
-- (c) Copyright 1995-2005 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file romo_xil.vhd when simulating
-- the core, romo_xil. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Guide".
-- instructions, please refer to the "CORE Generator Help".
 
-- The synopsys directives "translate_off/translate_on" specified
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
-- synopsys translate_off
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
 
-- synopsys translate_off
Library XilinxCoreLib;
-- synopsys translate_on
ENTITY romo_xil IS
port (
A: IN std_logic_VECTOR(5 downto 0);
48,7 → 48,7
END romo_xil;
 
ARCHITECTURE romo_xil_a OF romo_xil IS
 
-- synopsys translate_off
component wrapped_romo_xil
port (
A: IN std_logic_VECTOR(5 downto 0);
71,18 → 71,18
c_width => 14,
c_reg_a_d_inputs => 0,
c_latency => 1,
c_has_spo => 0,
c_has_we => 0,
c_has_spo => 0,
c_depth => 64,
c_has_i_ce => 0,
c_default_data_radix => 2,
c_default_data => "0",
c_default_data_radix => 2,
c_has_dpra => 0,
c_has_clk => 1,
c_enable_rlocs => 1,
c_enable_rlocs => 0,
c_generate_mif => 1,
c_has_qspo_ce => 0,
c_addr_width => 6,
c_has_qspo_ce => 0,
c_has_qdpo_srst => 0,
c_mux_type => 0,
c_has_spra => 0,
89,19 → 89,20
c_has_qdpo => 0,
c_mem_init_file => "c:/elektronika/dct/mdct/source/xilinx/romo_xil.mif",
c_reg_dpra_input => 0,
c_has_qspo_srst => 0,
c_has_rd_en => 0,
c_has_qspo_srst => 0,
c_read_mif => 1,
c_sync_enable => 0,
c_has_qdpo_ce => 0);
-- synopsys translate_on
BEGIN
 
-- synopsys translate_off
U0 : wrapped_romo_xil
port map (
A => A,
CLK => CLK,
QSPO => QSPO);
-- synopsys translate_on
 
END romo_xil_a;
 
-- synopsys translate_on
 
/trunk/source/xilinx/romo_xil.edn
1,7 → 1,7
(edif test (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0))
(status (written (timeStamp 2006 4 20 23 32 0)
(status (written (timeStamp 2006 5 8 23 30 28)
(author "Xilinx, Inc.")
(program "Xilinx CORE Generator" (version "Xilinx CORE Generator 6.3i"))))
(program "Xilinx CORE Generator" (version "Xilinx CORE Generator 8.1i"))))
(comment "
This file is owned and controlled by Xilinx and must be used
solely for design, simulation, implementation and creation of
27,7 → 27,7
appliances, devices, or systems. Use in such applications are
expressly prohibited.
(c) Copyright 1995-2004 Xilinx, Inc.
(c) Copyright 1995-2005 Xilinx, Inc.
All rights reserved.
")
54,7 → 54,7
(comment "c_default_data = 0 ")
(comment "c_has_dpra = false ")
(comment "c_has_clk = true ")
(comment "c_enable_rlocs = true ")
(comment "c_enable_rlocs = false ")
(comment "c_generate_mif = true ")
(comment "c_has_qspo_ce = false ")
(comment "c_addr_width = 6 ")
138,1518 → 138,1406
(contents
(instance VCC (viewRef view_1 (cellRef VCC (libraryRef xilinxun))))
(instance GND (viewRef view_1 (cellRef GND (libraryRef xilinxun))))
(instance BU11
(instance BU7
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y1"))
(property INIT (string "0ff0"))
)
(instance BU12
(instance BU8
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y1"))
(property INIT (string "33cc"))
)
(instance BU13
(instance BU9
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y1"))
)
(instance BU14
(instance BU10
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
(property INIT (string "5a5a"))
)
(instance BU15
(instance BU11
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
(property INIT (string "6666"))
)
(instance BU16
(instance BU12
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
)
(instance BU17
(instance BU13
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
)
(instance BU19
(instance BU15
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y0"))
)
(instance BU24
(instance BU17
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y3"))
(property INIT (string "cc3c"))
)
(instance BU25
(instance BU18
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y3"))
(property INIT (string "5566"))
)
(instance BU26
(instance BU19
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y3"))
)
(instance BU27
(instance BU20
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
(property INIT (string "05fa"))
)
(instance BU28
(instance BU21
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
(property INIT (string "1e1e"))
)
(instance BU29
(instance BU22
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
)
(instance BU30
(instance BU23
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
)
(instance BU32
(instance BU25
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y2"))
)
(instance BU37
(instance BU27
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y5"))
(property INIT (string "0030"))
)
(instance BU38
(instance BU28
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y5"))
(property INIT (string "ffee"))
)
(instance BU39
(instance BU29
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y5"))
)
(instance BU40
(instance BU30
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
(property INIT (string "00fa"))
)
(instance BU41
(instance BU31
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
(property INIT (string "fefe"))
)
(instance BU42
(instance BU32
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
)
(instance BU43
(instance BU33
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
)
(instance BU45
(instance BU35
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y4"))
)
(instance BU50
(instance BU37
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y7"))
(property INIT (string "0fc0"))
)
(instance BU51
(instance BU38
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y7"))
(property INIT (string "cc22"))
)
(instance BU52
(instance BU39
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y7"))
)
(instance BU53
(instance BU40
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
(property INIT (string "5aa0"))
)
(instance BU54
(instance BU41
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
(property INIT (string "9898"))
)
(instance BU55
(instance BU42
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
)
(instance BU56
(instance BU43
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
)
(instance BU58
(instance BU45
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y6"))
)
(instance BU63
(instance BU47
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y9"))
(property INIT (string "6966"))
)
(instance BU64
(instance BU48
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y9"))
(property INIT (string "5a78"))
)
(instance BU65
(instance BU49
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y9"))
)
(instance BU66
(instance BU50
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
(property INIT (string "93cc"))
)
(instance BU67
(instance BU51
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
(property INIT (string "1fe0"))
)
(instance BU68
(instance BU52
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
)
(instance BU69
(instance BU53
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
)
(instance BU71
(instance BU55
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y8"))
)
(instance BU76
(instance BU57
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y11"))
(property INIT (string "42b4"))
)
(instance BU77
(instance BU58
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y11"))
(property INIT (string "63bc"))
)
(instance BU78
(instance BU59
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y11"))
)
(instance BU79
(instance BU60
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
(property INIT (string "495a"))
)
(instance BU80
(instance BU61
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
(property INIT (string "8666"))
)
(instance BU81
(instance BU62
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
)
(instance BU82
(instance BU63
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
)
(instance BU84
(instance BU65
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y10"))
)
(instance BU89
(instance BU67
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y13"))
(property INIT (string "4f04"))
)
(instance BU90
(instance BU68
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y13"))
(property INIT (string "8c30"))
)
(instance BU91
(instance BU69
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y13"))
)
(instance BU92
(instance BU70
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
(property INIT (string "a1a0"))
)
(instance BU93
(instance BU71
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
(property INIT (string "e888"))
)
(instance BU94
(instance BU72
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
)
(instance BU95
(instance BU73
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
)
(instance BU97
(instance BU75
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y12"))
)
(instance BU102
(instance BU77
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y15"))
(property INIT (string "d992"))
)
(instance BU103
(instance BU78
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y15"))
(property INIT (string "a56a"))
)
(instance BU104
(instance BU79
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y15"))
)
(instance BU105
(instance BU80
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
(property INIT (string "9866"))
)
(instance BU106
(instance BU81
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
(property INIT (string "c33c"))
)
(instance BU107
(instance BU82
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
)
(instance BU108
(instance BU83
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
)
(instance BU110
(instance BU85
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y14"))
)
(instance BU115
(instance BU87
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y17"))
(property INIT (string "0b42"))
)
(instance BU116
(instance BU88
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y17"))
(property INIT (string "502a"))
)
(instance BU117
(instance BU89
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y17"))
)
(instance BU118
(instance BU90
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
(property INIT (string "2244"))
)
(instance BU119
(instance BU91
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
(property INIT (string "f330"))
)
(instance BU120
(instance BU92
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
)
(instance BU121
(instance BU93
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
)
(instance BU123
(instance BU95
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y16"))
)
(instance BU128
(instance BU97
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y19"))
(property INIT (string "5158"))
)
(instance BU129
(instance BU98
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y19"))
(property INIT (string "0fda"))
)
(instance BU130
(instance BU99
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y19"))
)
(instance BU131
(instance BU100
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
(property INIT (string "6622"))
)
(instance BU132
(instance BU101
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
(property INIT (string "c0fc"))
)
(instance BU133
(instance BU102
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
)
(instance BU134
(instance BU103
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
)
(instance BU136
(instance BU105
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y18"))
)
(instance BU141
(instance BU107
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y21"))
(property INIT (string "9d9c"))
)
(instance BU142
(instance BU108
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y21"))
(property INIT (string "5550"))
)
(instance BU143
(instance BU109
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y21"))
)
(instance BU144
(instance BU110
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
(property INIT (string "dd22"))
)
(instance BU145
(instance BU111
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
(property INIT (string "300c"))
)
(instance BU146
(instance BU112
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
)
(instance BU147
(instance BU113
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
)
(instance BU149
(instance BU115
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y20"))
)
(instance BU154
(instance BU117
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y23"))
(property INIT (string "1ee0"))
)
(instance BU155
(instance BU118
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y23"))
(property INIT (string "cc36"))
)
(instance BU156
(instance BU119
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y23"))
)
(instance BU157
(instance BU120
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
(property INIT (string "5a78"))
)
(instance BU158
(instance BU121
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
(property INIT (string "969a"))
)
(instance BU159
(instance BU122
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
)
(instance BU160
(instance BU123
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
)
(instance BU162
(instance BU125
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y22"))
)
(instance BU167
(instance BU127
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y25"))
(property INIT (string "e000"))
)
(instance BU168
(instance BU128
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y25"))
(property INIT (string "ccfe"))
)
(instance BU169
(instance BU129
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y25"))
)
(instance BU170
(instance BU130
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
(property INIT (string "5070"))
)
(instance BU171
(instance BU131
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
(property INIT (string "b2ba"))
)
(instance BU172
(instance BU132
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
)
(instance BU173
(instance BU133
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
)
(instance BU175
(instance BU135
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y24"))
)
(instance BU180
(instance BU137
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y27"))
(property INIT (string "0000"))
)
(instance BU181
(instance BU138
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y27"))
(property INIT (string "ccfe"))
)
(instance BU182
(instance BU139
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y27"))
)
(instance BU183
(instance BU140
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
(property INIT (string "5070"))
)
(instance BU184
(instance BU141
(viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
(property INIT (string "b2ba"))
)
(instance BU185
(instance BU142
(viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
)
(instance BU186
(instance BU143
(viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
)
(instance BU188
(instance BU145
(viewRef view_1 (cellRef FDE (libraryRef xilinxun)))
(property RLOC (string "x0y26"))
)
(net N1
(joined
(portRef P (instanceRef VCC))
(portRef CE (instanceRef BU19))
(portRef CE (instanceRef BU32))
(portRef CE (instanceRef BU15))
(portRef CE (instanceRef BU25))
(portRef CE (instanceRef BU35))
(portRef CE (instanceRef BU45))
(portRef CE (instanceRef BU58))
(portRef CE (instanceRef BU71))
(portRef CE (instanceRef BU84))
(portRef CE (instanceRef BU97))
(portRef CE (instanceRef BU110))
(portRef CE (instanceRef BU123))
(portRef CE (instanceRef BU136))
(portRef CE (instanceRef BU149))
(portRef CE (instanceRef BU162))
(portRef CE (instanceRef BU175))
(portRef CE (instanceRef BU188))
(portRef CE (instanceRef BU55))
(portRef CE (instanceRef BU65))
(portRef CE (instanceRef BU75))
(portRef CE (instanceRef BU85))
(portRef CE (instanceRef BU95))
(portRef CE (instanceRef BU105))
(portRef CE (instanceRef BU115))
(portRef CE (instanceRef BU125))
(portRef CE (instanceRef BU135))
(portRef CE (instanceRef BU145))
)
)
(net (rename N2 "A(0)")
(joined
(portRef (member A 5))
(portRef I0 (instanceRef BU7))
(portRef I0 (instanceRef BU8))
(portRef I0 (instanceRef BU10))
(portRef I0 (instanceRef BU11))
(portRef I0 (instanceRef BU12))
(portRef I0 (instanceRef BU14))
(portRef I0 (instanceRef BU15))
(portRef I0 (instanceRef BU24))
(portRef I0 (instanceRef BU25))
(portRef I0 (instanceRef BU17))
(portRef I0 (instanceRef BU18))
(portRef I0 (instanceRef BU20))
(portRef I0 (instanceRef BU21))
(portRef I0 (instanceRef BU27))
(portRef I0 (instanceRef BU28))
(portRef I0 (instanceRef BU30))
(portRef I0 (instanceRef BU31))
(portRef I0 (instanceRef BU37))
(portRef I0 (instanceRef BU38))
(portRef I0 (instanceRef BU40))
(portRef I0 (instanceRef BU41))
(portRef I0 (instanceRef BU47))
(portRef I0 (instanceRef BU48))
(portRef I0 (instanceRef BU50))
(portRef I0 (instanceRef BU51))
(portRef I0 (instanceRef BU53))
(portRef I0 (instanceRef BU54))
(portRef I0 (instanceRef BU63))
(portRef I0 (instanceRef BU64))
(portRef I0 (instanceRef BU66))
(portRef I0 (instanceRef BU57))
(portRef I0 (instanceRef BU58))
(portRef I0 (instanceRef BU60))
(portRef I0 (instanceRef BU61))
(portRef I0 (instanceRef BU67))
(portRef I0 (instanceRef BU76))
(portRef I0 (instanceRef BU68))
(portRef I0 (instanceRef BU70))
(portRef I0 (instanceRef BU71))
(portRef I0 (instanceRef BU77))
(portRef I0 (instanceRef BU79))
(portRef I0 (instanceRef BU78))
(portRef I0 (instanceRef BU80))
(portRef I0 (instanceRef BU89))
(portRef I0 (instanceRef BU81))
(portRef I0 (instanceRef BU87))
(portRef I0 (instanceRef BU88))
(portRef I0 (instanceRef BU90))
(portRef I0 (instanceRef BU92))
(portRef I0 (instanceRef BU93))
(portRef I0 (instanceRef BU102))
(portRef I0 (instanceRef BU103))
(portRef I0 (instanceRef BU105))
(portRef I0 (instanceRef BU106))
(portRef I0 (instanceRef BU115))
(portRef I0 (instanceRef BU116))
(portRef I0 (instanceRef BU91))
(portRef I0 (instanceRef BU97))
(portRef I0 (instanceRef BU98))
(portRef I0 (instanceRef BU100))
(portRef I0 (instanceRef BU101))
(portRef I0 (instanceRef BU107))
(portRef I0 (instanceRef BU108))
(portRef I0 (instanceRef BU110))
(portRef I0 (instanceRef BU111))
(portRef I0 (instanceRef BU117))
(portRef I0 (instanceRef BU118))
(portRef I0 (instanceRef BU119))
(portRef I0 (instanceRef BU120))
(portRef I0 (instanceRef BU121))
(portRef I0 (instanceRef BU127))
(portRef I0 (instanceRef BU128))
(portRef I0 (instanceRef BU129))
(portRef I0 (instanceRef BU130))
(portRef I0 (instanceRef BU131))
(portRef I0 (instanceRef BU132))
(portRef I0 (instanceRef BU137))
(portRef I0 (instanceRef BU138))
(portRef I0 (instanceRef BU140))
(portRef I0 (instanceRef BU141))
(portRef I0 (instanceRef BU142))
(portRef I0 (instanceRef BU144))
(portRef I0 (instanceRef BU145))
(portRef I0 (instanceRef BU154))
(portRef I0 (instanceRef BU155))
(portRef I0 (instanceRef BU157))
(portRef I0 (instanceRef BU158))
(portRef I0 (instanceRef BU167))
(portRef I0 (instanceRef BU168))
(portRef I0 (instanceRef BU170))
(portRef I0 (instanceRef BU171))
(portRef I0 (instanceRef BU180))
(portRef I0 (instanceRef BU181))
(portRef I0 (instanceRef BU183))
(portRef I0 (instanceRef BU184))
)
)
(net (rename N3 "A(1)")
(joined
(portRef (member A 4))
(portRef I1 (instanceRef BU7))
(portRef I1 (instanceRef BU8))
(portRef I1 (instanceRef BU10))
(portRef I1 (instanceRef BU11))
(portRef I1 (instanceRef BU12))
(portRef I1 (instanceRef BU14))
(portRef I1 (instanceRef BU15))
(portRef I1 (instanceRef BU24))
(portRef I1 (instanceRef BU25))
(portRef I1 (instanceRef BU17))
(portRef I1 (instanceRef BU18))
(portRef I1 (instanceRef BU20))
(portRef I1 (instanceRef BU21))
(portRef I1 (instanceRef BU27))
(portRef I1 (instanceRef BU28))
(portRef I1 (instanceRef BU30))
(portRef I1 (instanceRef BU31))
(portRef I1 (instanceRef BU37))
(portRef I1 (instanceRef BU38))
(portRef I1 (instanceRef BU40))
(portRef I1 (instanceRef BU41))
(portRef I1 (instanceRef BU47))
(portRef I1 (instanceRef BU48))
(portRef I1 (instanceRef BU50))
(portRef I1 (instanceRef BU51))
(portRef I1 (instanceRef BU53))
(portRef I1 (instanceRef BU54))
(portRef I1 (instanceRef BU63))
(portRef I1 (instanceRef BU64))
(portRef I1 (instanceRef BU66))
(portRef I1 (instanceRef BU57))
(portRef I1 (instanceRef BU58))
(portRef I1 (instanceRef BU60))
(portRef I1 (instanceRef BU61))
(portRef I1 (instanceRef BU67))
(portRef I1 (instanceRef BU76))
(portRef I1 (instanceRef BU68))
(portRef I1 (instanceRef BU70))
(portRef I1 (instanceRef BU71))
(portRef I1 (instanceRef BU77))
(portRef I1 (instanceRef BU79))
(portRef I1 (instanceRef BU78))
(portRef I1 (instanceRef BU80))
(portRef I1 (instanceRef BU89))
(portRef I1 (instanceRef BU81))
(portRef I1 (instanceRef BU87))
(portRef I1 (instanceRef BU88))
(portRef I1 (instanceRef BU90))
(portRef I1 (instanceRef BU92))
(portRef I1 (instanceRef BU93))
(portRef I1 (instanceRef BU102))
(portRef I1 (instanceRef BU103))
(portRef I1 (instanceRef BU105))
(portRef I1 (instanceRef BU106))
(portRef I1 (instanceRef BU115))
(portRef I1 (instanceRef BU116))
(portRef I1 (instanceRef BU91))
(portRef I1 (instanceRef BU97))
(portRef I1 (instanceRef BU98))
(portRef I1 (instanceRef BU100))
(portRef I1 (instanceRef BU101))
(portRef I1 (instanceRef BU107))
(portRef I1 (instanceRef BU108))
(portRef I1 (instanceRef BU110))
(portRef I1 (instanceRef BU111))
(portRef I1 (instanceRef BU117))
(portRef I1 (instanceRef BU118))
(portRef I1 (instanceRef BU119))
(portRef I1 (instanceRef BU120))
(portRef I1 (instanceRef BU121))
(portRef I1 (instanceRef BU127))
(portRef I1 (instanceRef BU128))
(portRef I1 (instanceRef BU129))
(portRef I1 (instanceRef BU130))
(portRef I1 (instanceRef BU131))
(portRef I1 (instanceRef BU132))
(portRef I1 (instanceRef BU137))
(portRef I1 (instanceRef BU138))
(portRef I1 (instanceRef BU140))
(portRef I1 (instanceRef BU141))
(portRef I1 (instanceRef BU142))
(portRef I1 (instanceRef BU144))
(portRef I1 (instanceRef BU145))
(portRef I1 (instanceRef BU154))
(portRef I1 (instanceRef BU155))
(portRef I1 (instanceRef BU157))
(portRef I1 (instanceRef BU158))
(portRef I1 (instanceRef BU167))
(portRef I1 (instanceRef BU168))
(portRef I1 (instanceRef BU170))
(portRef I1 (instanceRef BU171))
(portRef I1 (instanceRef BU180))
(portRef I1 (instanceRef BU181))
(portRef I1 (instanceRef BU183))
(portRef I1 (instanceRef BU184))
)
)
(net (rename N4 "A(2)")
(joined
(portRef (member A 3))
(portRef I2 (instanceRef BU7))
(portRef I2 (instanceRef BU8))
(portRef I2 (instanceRef BU10))
(portRef I2 (instanceRef BU11))
(portRef I2 (instanceRef BU12))
(portRef I2 (instanceRef BU14))
(portRef I2 (instanceRef BU15))
(portRef I2 (instanceRef BU24))
(portRef I2 (instanceRef BU25))
(portRef I2 (instanceRef BU17))
(portRef I2 (instanceRef BU18))
(portRef I2 (instanceRef BU20))
(portRef I2 (instanceRef BU21))
(portRef I2 (instanceRef BU27))
(portRef I2 (instanceRef BU28))
(portRef I2 (instanceRef BU30))
(portRef I2 (instanceRef BU31))
(portRef I2 (instanceRef BU37))
(portRef I2 (instanceRef BU38))
(portRef I2 (instanceRef BU40))
(portRef I2 (instanceRef BU41))
(portRef I2 (instanceRef BU47))
(portRef I2 (instanceRef BU48))
(portRef I2 (instanceRef BU50))
(portRef I2 (instanceRef BU51))
(portRef I2 (instanceRef BU53))
(portRef I2 (instanceRef BU54))
(portRef I2 (instanceRef BU63))
(portRef I2 (instanceRef BU64))
(portRef I2 (instanceRef BU66))
(portRef I2 (instanceRef BU57))
(portRef I2 (instanceRef BU58))
(portRef I2 (instanceRef BU60))
(portRef I2 (instanceRef BU61))
(portRef I2 (instanceRef BU67))
(portRef I2 (instanceRef BU76))
(portRef I2 (instanceRef BU68))
(portRef I2 (instanceRef BU70))
(portRef I2 (instanceRef BU71))
(portRef I2 (instanceRef BU77))
(portRef I2 (instanceRef BU79))
(portRef I2 (instanceRef BU78))
(portRef I2 (instanceRef BU80))
(portRef I2 (instanceRef BU89))
(portRef I2 (instanceRef BU81))
(portRef I2 (instanceRef BU87))
(portRef I2 (instanceRef BU88))
(portRef I2 (instanceRef BU90))
(portRef I2 (instanceRef BU92))
(portRef I2 (instanceRef BU93))
(portRef I2 (instanceRef BU102))
(portRef I2 (instanceRef BU103))
(portRef I2 (instanceRef BU105))
(portRef I2 (instanceRef BU106))
(portRef I2 (instanceRef BU115))
(portRef I2 (instanceRef BU116))
(portRef I2 (instanceRef BU91))
(portRef I2 (instanceRef BU97))
(portRef I2 (instanceRef BU98))
(portRef I2 (instanceRef BU100))
(portRef I2 (instanceRef BU101))
(portRef I2 (instanceRef BU107))
(portRef I2 (instanceRef BU108))
(portRef I2 (instanceRef BU110))
(portRef I2 (instanceRef BU111))
(portRef I2 (instanceRef BU117))
(portRef I2 (instanceRef BU118))
(portRef I2 (instanceRef BU119))
(portRef I2 (instanceRef BU120))
(portRef I2 (instanceRef BU121))
(portRef I2 (instanceRef BU127))
(portRef I2 (instanceRef BU128))
(portRef I2 (instanceRef BU129))
(portRef I2 (instanceRef BU130))
(portRef I2 (instanceRef BU131))
(portRef I2 (instanceRef BU132))
(portRef I2 (instanceRef BU137))
(portRef I2 (instanceRef BU138))
(portRef I2 (instanceRef BU140))
(portRef I2 (instanceRef BU141))
(portRef I2 (instanceRef BU142))
(portRef I2 (instanceRef BU144))
(portRef I2 (instanceRef BU145))
(portRef I2 (instanceRef BU154))
(portRef I2 (instanceRef BU155))
(portRef I2 (instanceRef BU157))
(portRef I2 (instanceRef BU158))
(portRef I2 (instanceRef BU167))
(portRef I2 (instanceRef BU168))
(portRef I2 (instanceRef BU170))
(portRef I2 (instanceRef BU171))
(portRef I2 (instanceRef BU180))
(portRef I2 (instanceRef BU181))
(portRef I2 (instanceRef BU183))
(portRef I2 (instanceRef BU184))
)
)
(net (rename N5 "A(3)")
(joined
(portRef (member A 2))
(portRef I3 (instanceRef BU7))
(portRef I3 (instanceRef BU8))
(portRef I3 (instanceRef BU10))
(portRef I3 (instanceRef BU11))
(portRef I3 (instanceRef BU12))
(portRef I3 (instanceRef BU14))
(portRef I3 (instanceRef BU15))
(portRef I3 (instanceRef BU24))
(portRef I3 (instanceRef BU25))
(portRef I3 (instanceRef BU17))
(portRef I3 (instanceRef BU18))
(portRef I3 (instanceRef BU20))
(portRef I3 (instanceRef BU21))
(portRef I3 (instanceRef BU27))
(portRef I3 (instanceRef BU28))
(portRef I3 (instanceRef BU30))
(portRef I3 (instanceRef BU31))
(portRef I3 (instanceRef BU37))
(portRef I3 (instanceRef BU38))
(portRef I3 (instanceRef BU40))
(portRef I3 (instanceRef BU41))
(portRef I3 (instanceRef BU47))
(portRef I3 (instanceRef BU48))
(portRef I3 (instanceRef BU50))
(portRef I3 (instanceRef BU51))
(portRef I3 (instanceRef BU53))
(portRef I3 (instanceRef BU54))
(portRef I3 (instanceRef BU63))
(portRef I3 (instanceRef BU64))
(portRef I3 (instanceRef BU66))
(portRef I3 (instanceRef BU57))
(portRef I3 (instanceRef BU58))
(portRef I3 (instanceRef BU60))
(portRef I3 (instanceRef BU61))
(portRef I3 (instanceRef BU67))
(portRef I3 (instanceRef BU76))
(portRef I3 (instanceRef BU68))
(portRef I3 (instanceRef BU70))
(portRef I3 (instanceRef BU71))
(portRef I3 (instanceRef BU77))
(portRef I3 (instanceRef BU79))
(portRef I3 (instanceRef BU78))
(portRef I3 (instanceRef BU80))
(portRef I3 (instanceRef BU89))
(portRef I3 (instanceRef BU81))
(portRef I3 (instanceRef BU87))
(portRef I3 (instanceRef BU88))
(portRef I3 (instanceRef BU90))
(portRef I3 (instanceRef BU92))
(portRef I3 (instanceRef BU93))
(portRef I3 (instanceRef BU102))
(portRef I3 (instanceRef BU103))
(portRef I3 (instanceRef BU105))
(portRef I3 (instanceRef BU106))
(portRef I3 (instanceRef BU115))
(portRef I3 (instanceRef BU116))
(portRef I3 (instanceRef BU91))
(portRef I3 (instanceRef BU97))
(portRef I3 (instanceRef BU98))
(portRef I3 (instanceRef BU100))
(portRef I3 (instanceRef BU101))
(portRef I3 (instanceRef BU107))
(portRef I3 (instanceRef BU108))
(portRef I3 (instanceRef BU110))
(portRef I3 (instanceRef BU111))
(portRef I3 (instanceRef BU117))
(portRef I3 (instanceRef BU118))
(portRef I3 (instanceRef BU119))
(portRef I3 (instanceRef BU120))
(portRef I3 (instanceRef BU121))
(portRef I3 (instanceRef BU127))
(portRef I3 (instanceRef BU128))
(portRef I3 (instanceRef BU129))
(portRef I3 (instanceRef BU130))
(portRef I3 (instanceRef BU131))
(portRef I3 (instanceRef BU132))
(portRef I3 (instanceRef BU137))
(portRef I3 (instanceRef BU138))
(portRef I3 (instanceRef BU140))
(portRef I3 (instanceRef BU141))
(portRef I3 (instanceRef BU142))
(portRef I3 (instanceRef BU144))
(portRef I3 (instanceRef BU145))
(portRef I3 (instanceRef BU154))
(portRef I3 (instanceRef BU155))
(portRef I3 (instanceRef BU157))
(portRef I3 (instanceRef BU158))
(portRef I3 (instanceRef BU167))
(portRef I3 (instanceRef BU168))
(portRef I3 (instanceRef BU170))
(portRef I3 (instanceRef BU171))
(portRef I3 (instanceRef BU180))
(portRef I3 (instanceRef BU181))
(portRef I3 (instanceRef BU183))
(portRef I3 (instanceRef BU184))
)
)
(net (rename N6 "A(4)")
(joined
(portRef (member A 1))
(portRef S (instanceRef BU13))
(portRef S (instanceRef BU16))
(portRef S (instanceRef BU26))
(portRef S (instanceRef BU9))
(portRef S (instanceRef BU12))
(portRef S (instanceRef BU19))
(portRef S (instanceRef BU22))
(portRef S (instanceRef BU29))
(portRef S (instanceRef BU32))
(portRef S (instanceRef BU39))
(portRef S (instanceRef BU42))
(portRef S (instanceRef BU49))
(portRef S (instanceRef BU52))
(portRef S (instanceRef BU55))
(portRef S (instanceRef BU65))
(portRef S (instanceRef BU68))
(portRef S (instanceRef BU78))
(portRef S (instanceRef BU81))
(portRef S (instanceRef BU91))
(portRef S (instanceRef BU94))
(portRef S (instanceRef BU104))
(portRef S (instanceRef BU107))
(portRef S (instanceRef BU117))
(portRef S (instanceRef BU120))
(portRef S (instanceRef BU130))
(portRef S (instanceRef BU133))
(portRef S (instanceRef BU143))
(portRef S (instanceRef BU146))
(portRef S (instanceRef BU156))
(portRef S (instanceRef BU159))
(portRef S (instanceRef BU169))
(portRef S (instanceRef BU172))
(portRef S (instanceRef BU182))
(portRef S (instanceRef BU185))
(portRef S (instanceRef BU59))
(portRef S (instanceRef BU62))
(portRef S (instanceRef BU69))
(portRef S (instanceRef BU72))
(portRef S (instanceRef BU79))
(portRef S (instanceRef BU82))
(portRef S (instanceRef BU89))
(portRef S (instanceRef BU92))
(portRef S (instanceRef BU99))
(portRef S (instanceRef BU102))
(portRef S (instanceRef BU109))
(portRef S (instanceRef BU112))
(portRef S (instanceRef BU119))
(portRef S (instanceRef BU122))
(portRef S (instanceRef BU129))
(portRef S (instanceRef BU132))
(portRef S (instanceRef BU139))
(portRef S (instanceRef BU142))
)
)
(net (rename N7 "A(5)")
(joined
(portRef (member A 0))
(portRef S (instanceRef BU17))
(portRef S (instanceRef BU30))
(portRef S (instanceRef BU13))
(portRef S (instanceRef BU23))
(portRef S (instanceRef BU33))
(portRef S (instanceRef BU43))
(portRef S (instanceRef BU56))
(portRef S (instanceRef BU69))
(portRef S (instanceRef BU82))
(portRef S (instanceRef BU95))
(portRef S (instanceRef BU108))
(portRef S (instanceRef BU121))
(portRef S (instanceRef BU134))
(portRef S (instanceRef BU147))
(portRef S (instanceRef BU160))
(portRef S (instanceRef BU173))
(portRef S (instanceRef BU186))
(portRef S (instanceRef BU53))
(portRef S (instanceRef BU63))
(portRef S (instanceRef BU73))
(portRef S (instanceRef BU83))
(portRef S (instanceRef BU93))
(portRef S (instanceRef BU103))
(portRef S (instanceRef BU113))
(portRef S (instanceRef BU123))
(portRef S (instanceRef BU133))
(portRef S (instanceRef BU143))
)
)
(net (rename N8 "CLK")
(joined
(portRef CLK)
(portRef C (instanceRef BU19))
(portRef C (instanceRef BU32))
(portRef C (instanceRef BU15))
(portRef C (instanceRef BU25))
(portRef C (instanceRef BU35))
(portRef C (instanceRef BU45))
(portRef C (instanceRef BU58))
(portRef C (instanceRef BU71))
(portRef C (instanceRef BU84))
(portRef C (instanceRef BU97))
(portRef C (instanceRef BU110))
(portRef C (instanceRef BU123))
(portRef C (instanceRef BU136))
(portRef C (instanceRef BU149))
(portRef C (instanceRef BU162))
(portRef C (instanceRef BU175))
(portRef C (instanceRef BU188))
(portRef C (instanceRef BU55))
(portRef C (instanceRef BU65))
(portRef C (instanceRef BU75))
(portRef C (instanceRef BU85))
(portRef C (instanceRef BU95))
(portRef C (instanceRef BU105))
(portRef C (instanceRef BU115))
(portRef C (instanceRef BU125))
(portRef C (instanceRef BU135))
(portRef C (instanceRef BU145))
)
)
(net (rename N9 "QSPO(0)")
(joined
(portRef (member QSPO 13))
(portRef Q (instanceRef BU19))
(portRef Q (instanceRef BU15))
)
)
(net (rename N10 "QSPO(1)")
(joined
(portRef (member QSPO 12))
(portRef Q (instanceRef BU32))
(portRef Q (instanceRef BU25))
)
)
(net (rename N11 "QSPO(2)")
(joined
(portRef (member QSPO 11))
(portRef Q (instanceRef BU45))
(portRef Q (instanceRef BU35))
)
)
(net (rename N12 "QSPO(3)")
(joined
(portRef (member QSPO 10))
(portRef Q (instanceRef BU58))
(portRef Q (instanceRef BU45))
)
)
(net (rename N13 "QSPO(4)")
(joined
(portRef (member QSPO 9))
(portRef Q (instanceRef BU71))
(portRef Q (instanceRef BU55))
)
)
(net (rename N14 "QSPO(5)")
(joined
(portRef (member QSPO 8))
(portRef Q (instanceRef BU84))
(portRef Q (instanceRef BU65))
)
)
(net (rename N15 "QSPO(6)")
(joined
(portRef (member QSPO 7))
(portRef Q (instanceRef BU97))
(portRef Q (instanceRef BU75))
)
)
(net (rename N16 "QSPO(7)")
(joined
(portRef (member QSPO 6))
(portRef Q (instanceRef BU110))
(portRef Q (instanceRef BU85))
)
)
(net (rename N17 "QSPO(8)")
(joined
(portRef (member QSPO 5))
(portRef Q (instanceRef BU123))
(portRef Q (instanceRef BU95))
)
)
(net (rename N18 "QSPO(9)")
(joined
(portRef (member QSPO 4))
(portRef Q (instanceRef BU136))
(portRef Q (instanceRef BU105))
)
)
(net (rename N19 "QSPO(10)")
(joined
(portRef (member QSPO 3))
(portRef Q (instanceRef BU149))
(portRef Q (instanceRef BU115))
)
)
(net (rename N20 "QSPO(11)")
(joined
(portRef (member QSPO 2))
(portRef Q (instanceRef BU162))
(portRef Q (instanceRef BU125))
)
)
(net (rename N21 "QSPO(12)")
(joined
(portRef (member QSPO 1))
(portRef Q (instanceRef BU175))
(portRef Q (instanceRef BU135))
)
)
(net (rename N22 "QSPO(13)")
(joined
(portRef (member QSPO 0))
(portRef Q (instanceRef BU188))
(portRef Q (instanceRef BU145))
)
)
(net N70
(joined
(portRef O (instanceRef BU17))
(portRef D (instanceRef BU19))
(portRef O (instanceRef BU13))
(portRef D (instanceRef BU15))
)
)
(net N71
(joined
(portRef O (instanceRef BU30))
(portRef D (instanceRef BU32))
(portRef O (instanceRef BU23))
(portRef D (instanceRef BU25))
)
)
(net N72
(joined
(portRef O (instanceRef BU43))
(portRef D (instanceRef BU45))
(portRef O (instanceRef BU33))
(portRef D (instanceRef BU35))
)
)
(net N73
(joined
(portRef O (instanceRef BU56))
(portRef D (instanceRef BU58))
(portRef O (instanceRef BU43))
(portRef D (instanceRef BU45))
)
)
(net N74
(joined
(portRef O (instanceRef BU69))
(portRef D (instanceRef BU71))
(portRef O (instanceRef BU53))
(portRef D (instanceRef BU55))
)
)
(net N75
(joined
(portRef O (instanceRef BU82))
(portRef D (instanceRef BU84))
(portRef O (instanceRef BU63))
(portRef D (instanceRef BU65))
)
)
(net N76
(joined
(portRef O (instanceRef BU95))
(portRef D (instanceRef BU97))
(portRef O (instanceRef BU73))
(portRef D (instanceRef BU75))
)
)
(net N77
(joined
(portRef O (instanceRef BU108))
(portRef D (instanceRef BU110))
(portRef O (instanceRef BU83))
(portRef D (instanceRef BU85))
)
)
(net N78
(joined
(portRef O (instanceRef BU121))
(portRef D (instanceRef BU123))
(portRef O (instanceRef BU93))
(portRef D (instanceRef BU95))
)
)
(net N79
(joined
(portRef O (instanceRef BU134))
(portRef D (instanceRef BU136))
(portRef O (instanceRef BU103))
(portRef D (instanceRef BU105))
)
)
(net N80
(joined
(portRef O (instanceRef BU147))
(portRef D (instanceRef BU149))
(portRef O (instanceRef BU113))
(portRef D (instanceRef BU115))
)
)
(net N81
(joined
(portRef O (instanceRef BU160))
(portRef D (instanceRef BU162))
(portRef O (instanceRef BU123))
(portRef D (instanceRef BU125))
)
)
(net N82
(joined
(portRef O (instanceRef BU173))
(portRef D (instanceRef BU175))
(portRef O (instanceRef BU133))
(portRef D (instanceRef BU135))
)
)
(net N83
(joined
(portRef O (instanceRef BU186))
(portRef D (instanceRef BU188))
(portRef O (instanceRef BU143))
(portRef D (instanceRef BU145))
)
)
(net N85
(joined
(portRef O (instanceRef BU13))
(portRef I0 (instanceRef BU17))
(portRef O (instanceRef BU9))
(portRef I0 (instanceRef BU13))
)
)
(net N86
(joined
(portRef O (instanceRef BU16))
(portRef I1 (instanceRef BU17))
(portRef O (instanceRef BU12))
(portRef I1 (instanceRef BU13))
)
)
(net N87
(joined
(portRef O (instanceRef BU11))
(portRef I0 (instanceRef BU13))
(portRef O (instanceRef BU7))
(portRef I0 (instanceRef BU9))
)
)
(net N88
(joined
(portRef O (instanceRef BU12))
(portRef I1 (instanceRef BU13))
(portRef O (instanceRef BU8))
(portRef I1 (instanceRef BU9))
)
)
(net N89
(joined
(portRef O (instanceRef BU14))
(portRef I0 (instanceRef BU16))
(portRef O (instanceRef BU10))
(portRef I0 (instanceRef BU12))
)
)
(net N90
(joined
(portRef O (instanceRef BU15))
(portRef I1 (instanceRef BU16))
(portRef O (instanceRef BU11))
(portRef I1 (instanceRef BU12))
)
)
(net N93
(joined
(portRef O (instanceRef BU26))
(portRef I0 (instanceRef BU30))
(portRef O (instanceRef BU19))
(portRef I0 (instanceRef BU23))
)
)
(net N94
(joined
(portRef O (instanceRef BU29))
(portRef I1 (instanceRef BU30))
(portRef O (instanceRef BU22))
(portRef I1 (instanceRef BU23))
)
)
(net N95
(joined
(portRef O (instanceRef BU24))
(portRef I0 (instanceRef BU26))
(portRef O (instanceRef BU17))
(portRef I0 (instanceRef BU19))
)
)
(net N96
(joined
(portRef O (instanceRef BU25))
(portRef I1 (instanceRef BU26))
(portRef O (instanceRef BU18))
(portRef I1 (instanceRef BU19))
)
)
(net N97
(joined
(portRef O (instanceRef BU27))
(portRef I0 (instanceRef BU29))
(portRef O (instanceRef BU20))
(portRef I0 (instanceRef BU22))
)
)
(net N98
(joined
(portRef O (instanceRef BU28))
(portRef I1 (instanceRef BU29))
(portRef O (instanceRef BU21))
(portRef I1 (instanceRef BU22))
)
)
(net N101
(joined
(portRef O (instanceRef BU39))
(portRef I0 (instanceRef BU43))
(portRef O (instanceRef BU29))
(portRef I0 (instanceRef BU33))
)
)
(net N102
(joined
(portRef O (instanceRef BU42))
(portRef I1 (instanceRef BU43))
(portRef O (instanceRef BU32))
(portRef I1 (instanceRef BU33))
)
)
(net N103
(joined
(portRef O (instanceRef BU37))
(portRef I0 (instanceRef BU39))
(portRef O (instanceRef BU27))
(portRef I0 (instanceRef BU29))
)
)
(net N104
(joined
(portRef O (instanceRef BU38))
(portRef I1 (instanceRef BU39))
(portRef O (instanceRef BU28))
(portRef I1 (instanceRef BU29))
)
)
(net N105
(joined
(portRef O (instanceRef BU40))
(portRef I0 (instanceRef BU42))
(portRef O (instanceRef BU30))
(portRef I0 (instanceRef BU32))
)
)
(net N106
(joined
(portRef O (instanceRef BU41))
(portRef I1 (instanceRef BU42))
(portRef O (instanceRef BU31))
(portRef I1 (instanceRef BU32))
)
)
(net N109
(joined
(portRef O (instanceRef BU52))
(portRef I0 (instanceRef BU56))
(portRef O (instanceRef BU39))
(portRef I0 (instanceRef BU43))
)
)
(net N110
(joined
(portRef O (instanceRef BU55))
(portRef I1 (instanceRef BU56))
(portRef O (instanceRef BU42))
(portRef I1 (instanceRef BU43))
)
)
(net N111
(joined
(portRef O (instanceRef BU50))
(portRef I0 (instanceRef BU52))
(portRef O (instanceRef BU37))
(portRef I0 (instanceRef BU39))
)
)
(net N112
(joined
(portRef O (instanceRef BU51))
(portRef I1 (instanceRef BU52))
(portRef O (instanceRef BU38))
(portRef I1 (instanceRef BU39))
)
)
(net N113
(joined
(portRef O (instanceRef BU53))
(portRef I0 (instanceRef BU55))
(portRef O (instanceRef BU40))
(portRef I0 (instanceRef BU42))
)
)
(net N114
(joined
(portRef O (instanceRef BU54))
(portRef I1 (instanceRef BU55))
(portRef O (instanceRef BU41))
(portRef I1 (instanceRef BU42))
)
)
(net N117
(joined
(portRef O (instanceRef BU65))
(portRef I0 (instanceRef BU69))
(portRef O (instanceRef BU49))
(portRef I0 (instanceRef BU53))
)
)
(net N118
(joined
(portRef O (instanceRef BU68))
(portRef I1 (instanceRef BU69))
(portRef O (instanceRef BU52))
(portRef I1 (instanceRef BU53))
)
)
(net N119
(joined
(portRef O (instanceRef BU63))
(portRef I0 (instanceRef BU65))
(portRef O (instanceRef BU47))
(portRef I0 (instanceRef BU49))
)
)
(net N120
(joined
(portRef O (instanceRef BU64))
(portRef I1 (instanceRef BU65))
(portRef O (instanceRef BU48))
(portRef I1 (instanceRef BU49))
)
)
(net N121
(joined
(portRef O (instanceRef BU66))
(portRef I0 (instanceRef BU68))
(portRef O (instanceRef BU50))
(portRef I0 (instanceRef BU52))
)
)
(net N122
(joined
(portRef O (instanceRef BU67))
(portRef I1 (instanceRef BU68))
(portRef O (instanceRef BU51))
(portRef I1 (instanceRef BU52))
)
)
(net N125
(joined
(portRef O (instanceRef BU78))
(portRef I0 (instanceRef BU82))
(portRef O (instanceRef BU59))
(portRef I0 (instanceRef BU63))
)
)
(net N126
(joined
(portRef O (instanceRef BU81))
(portRef I1 (instanceRef BU82))
(portRef O (instanceRef BU62))
(portRef I1 (instanceRef BU63))
)
)
(net N127
(joined
(portRef O (instanceRef BU76))
(portRef I0 (instanceRef BU78))
(portRef O (instanceRef BU57))
(portRef I0 (instanceRef BU59))
)
)
(net N128
(joined
(portRef O (instanceRef BU77))
(portRef I1 (instanceRef BU78))
(portRef O (instanceRef BU58))
(portRef I1 (instanceRef BU59))
)
)
(net N129
(joined
(portRef O (instanceRef BU79))
(portRef I0 (instanceRef BU81))
(portRef O (instanceRef BU60))
(portRef I0 (instanceRef BU62))
)
)
(net N130
(joined
(portRef O (instanceRef BU80))
(portRef I1 (instanceRef BU81))
(portRef O (instanceRef BU61))
(portRef I1 (instanceRef BU62))
)
)
(net N133
(joined
(portRef O (instanceRef BU91))
(portRef I0 (instanceRef BU95))
(portRef O (instanceRef BU69))
(portRef I0 (instanceRef BU73))
)
)
(net N134
(joined
(portRef O (instanceRef BU94))
(portRef I1 (instanceRef BU95))
(portRef O (instanceRef BU72))
(portRef I1 (instanceRef BU73))
)
)
(net N135
(joined
(portRef O (instanceRef BU89))
(portRef I0 (instanceRef BU91))
(portRef O (instanceRef BU67))
(portRef I0 (instanceRef BU69))
)
)
(net N136
(joined
(portRef O (instanceRef BU90))
(portRef I1 (instanceRef BU91))
(portRef O (instanceRef BU68))
(portRef I1 (instanceRef BU69))
)
)
(net N137
(joined
(portRef O (instanceRef BU92))
(portRef I0 (instanceRef BU94))
(portRef O (instanceRef BU70))
(portRef I0 (instanceRef BU72))
)
)
(net N138
(joined
(portRef O (instanceRef BU93))
(portRef I1 (instanceRef BU94))
(portRef O (instanceRef BU71))
(portRef I1 (instanceRef BU72))
)
)
(net N141
(joined
(portRef O (instanceRef BU104))
(portRef I0 (instanceRef BU108))
(portRef O (instanceRef BU79))
(portRef I0 (instanceRef BU83))
)
)
(net N142
(joined
(portRef O (instanceRef BU107))
(portRef I1 (instanceRef BU108))
(portRef O (instanceRef BU82))
(portRef I1 (instanceRef BU83))
)
)
(net N143
(joined
(portRef O (instanceRef BU102))
(portRef I0 (instanceRef BU104))
(portRef O (instanceRef BU77))
(portRef I0 (instanceRef BU79))
)
)
(net N144
(joined
(portRef O (instanceRef BU103))
(portRef I1 (instanceRef BU104))
(portRef O (instanceRef BU78))
(portRef I1 (instanceRef BU79))
)
)
(net N145
(joined
(portRef O (instanceRef BU105))
(portRef I0 (instanceRef BU107))
(portRef O (instanceRef BU80))
(portRef I0 (instanceRef BU82))
)
)
(net N146
(joined
(portRef O (instanceRef BU106))
(portRef I1 (instanceRef BU107))
(portRef O (instanceRef BU81))
(portRef I1 (instanceRef BU82))
)
)
(net N149
(joined
(portRef O (instanceRef BU117))
(portRef I0 (instanceRef BU121))
(portRef O (instanceRef BU89))
(portRef I0 (instanceRef BU93))
)
)
(net N150
(joined
(portRef O (instanceRef BU120))
(portRef I1 (instanceRef BU121))
(portRef O (instanceRef BU92))
(portRef I1 (instanceRef BU93))
)
)
(net N151
(joined
(portRef O (instanceRef BU115))
(portRef I0 (instanceRef BU117))
(portRef O (instanceRef BU87))
(portRef I0 (instanceRef BU89))
)
)
(net N152
(joined
(portRef O (instanceRef BU116))
(portRef I1 (instanceRef BU117))
(portRef O (instanceRef BU88))
(portRef I1 (instanceRef BU89))
)
)
(net N153
(joined
(portRef O (instanceRef BU118))
(portRef I0 (instanceRef BU120))
(portRef O (instanceRef BU90))
(portRef I0 (instanceRef BU92))
)
)
(net N154
(joined
(portRef O (instanceRef BU119))
(portRef I1 (instanceRef BU120))
(portRef O (instanceRef BU91))
(portRef I1 (instanceRef BU92))
)
)
(net N157
(joined
(portRef O (instanceRef BU130))
(portRef I0 (instanceRef BU134))
(portRef O (instanceRef BU99))
(portRef I0 (instanceRef BU103))
)
)
(net N158
(joined
(portRef O (instanceRef BU133))
(portRef I1 (instanceRef BU134))
(portRef O (instanceRef BU102))
(portRef I1 (instanceRef BU103))
)
)
(net N159
(joined
(portRef O (instanceRef BU128))
(portRef I0 (instanceRef BU130))
(portRef O (instanceRef BU97))
(portRef I0 (instanceRef BU99))
)
)
(net N160
(joined
(portRef O (instanceRef BU129))
(portRef I1 (instanceRef BU130))
(portRef O (instanceRef BU98))
(portRef I1 (instanceRef BU99))
)
)
(net N161
(joined
(portRef O (instanceRef BU131))
(portRef I0 (instanceRef BU133))
(portRef O (instanceRef BU100))
(portRef I0 (instanceRef BU102))
)
)
(net N162
(joined
(portRef O (instanceRef BU132))
(portRef I1 (instanceRef BU133))
(portRef O (instanceRef BU101))
(portRef I1 (instanceRef BU102))
)
)
(net N165
(joined
(portRef O (instanceRef BU143))
(portRef I0 (instanceRef BU147))
(portRef O (instanceRef BU109))
(portRef I0 (instanceRef BU113))
)
)
(net N166
(joined
(portRef O (instanceRef BU146))
(portRef I1 (instanceRef BU147))
(portRef O (instanceRef BU112))
(portRef I1 (instanceRef BU113))
)
)
(net N167
(joined
(portRef O (instanceRef BU141))
(portRef I0 (instanceRef BU143))
(portRef O (instanceRef BU107))
(portRef I0 (instanceRef BU109))
)
)
(net N168
(joined
(portRef O (instanceRef BU142))
(portRef I1 (instanceRef BU143))
(portRef O (instanceRef BU108))
(portRef I1 (instanceRef BU109))
)
)
(net N169
(joined
(portRef O (instanceRef BU144))
(portRef I0 (instanceRef BU146))
(portRef O (instanceRef BU110))
(portRef I0 (instanceRef BU112))
)
)
(net N170
(joined
(portRef O (instanceRef BU145))
(portRef I1 (instanceRef BU146))
(portRef O (instanceRef BU111))
(portRef I1 (instanceRef BU112))
)
)
(net N173
(joined
(portRef O (instanceRef BU156))
(portRef I0 (instanceRef BU160))
(portRef O (instanceRef BU119))
(portRef I0 (instanceRef BU123))
)
)
(net N174
(joined
(portRef O (instanceRef BU159))
(portRef I1 (instanceRef BU160))
(portRef O (instanceRef BU122))
(portRef I1 (instanceRef BU123))
)
)
(net N175
(joined
(portRef O (instanceRef BU154))
(portRef I0 (instanceRef BU156))
(portRef O (instanceRef BU117))
(portRef I0 (instanceRef BU119))
)
)
(net N176
(joined
(portRef O (instanceRef BU155))
(portRef I1 (instanceRef BU156))
(portRef O (instanceRef BU118))
(portRef I1 (instanceRef BU119))
)
)
(net N177
(joined
(portRef O (instanceRef BU157))
(portRef I0 (instanceRef BU159))
(portRef O (instanceRef BU120))
(portRef I0 (instanceRef BU122))
)
)
(net N178
(joined
(portRef O (instanceRef BU158))
(portRef I1 (instanceRef BU159))
(portRef O (instanceRef BU121))
(portRef I1 (instanceRef BU122))
)
)
(net N181
(joined
(portRef O (instanceRef BU169))
(portRef I0 (instanceRef BU173))
(portRef O (instanceRef BU129))
(portRef I0 (instanceRef BU133))
)
)
(net N182
(joined
(portRef O (instanceRef BU172))
(portRef I1 (instanceRef BU173))
(portRef O (instanceRef BU132))
(portRef I1 (instanceRef BU133))
)
)
(net N183
(joined
(portRef O (instanceRef BU167))
(portRef I0 (instanceRef BU169))
(portRef O (instanceRef BU127))
(portRef I0 (instanceRef BU129))
)
)
(net N184
(joined
(portRef O (instanceRef BU168))
(portRef I1 (instanceRef BU169))
(portRef O (instanceRef BU128))
(portRef I1 (instanceRef BU129))
)
)
(net N185
(joined
(portRef O (instanceRef BU170))
(portRef I0 (instanceRef BU172))
(portRef O (instanceRef BU130))
(portRef I0 (instanceRef BU132))
)
)
(net N186
(joined
(portRef O (instanceRef BU171))
(portRef I1 (instanceRef BU172))
(portRef O (instanceRef BU131))
(portRef I1 (instanceRef BU132))
)
)
(net N189
(joined
(portRef O (instanceRef BU182))
(portRef I0 (instanceRef BU186))
(portRef O (instanceRef BU139))
(portRef I0 (instanceRef BU143))
)
)
(net N190
(joined
(portRef O (instanceRef BU185))
(portRef I1 (instanceRef BU186))
(portRef O (instanceRef BU142))
(portRef I1 (instanceRef BU143))
)
)
(net N191
(joined
(portRef O (instanceRef BU180))
(portRef I0 (instanceRef BU182))
(portRef O (instanceRef BU137))
(portRef I0 (instanceRef BU139))
)
)
(net N192
(joined
(portRef O (instanceRef BU181))
(portRef I1 (instanceRef BU182))
(portRef O (instanceRef BU138))
(portRef I1 (instanceRef BU139))
)
)
(net N193
(joined
(portRef O (instanceRef BU183))
(portRef I0 (instanceRef BU185))
(portRef O (instanceRef BU140))
(portRef I0 (instanceRef BU142))
)
)
(net N194
(joined
(portRef O (instanceRef BU184))
(portRef I1 (instanceRef BU185))
(portRef O (instanceRef BU141))
(portRef I1 (instanceRef BU142))
)
)
))))
(design romo_xil (cellRef romo_xil (libraryRef test_lib))
(property X_CORE_INFO (string "C_DIST_MEM_V7_1, Coregen 6.3i"))
(property PART (string "XC3S5000-4-fg900") (owner "Xilinx")))
(property X_CORE_INFO (string "C_DIST_MEM_V7_1, Coregen 8.1i"))
(property PART (string "xc3s1000-ft256-4") (owner "Xilinx")))
)
/trunk/source/xilinx/rome_xil.vhd
23,23 → 23,23
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2004 Xilinx, Inc. --
-- (c) Copyright 1995-2005 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file rome_xil.vhd when simulating
-- the core, rome_xil. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Guide".
-- instructions, please refer to the "CORE Generator Help".
 
-- The synopsys directives "translate_off/translate_on" specified
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
-- synopsys translate_off
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
 
-- synopsys translate_off
Library XilinxCoreLib;
-- synopsys translate_on
ENTITY rome_xil IS
port (
A: IN std_logic_VECTOR(5 downto 0);
48,7 → 48,7
END rome_xil;
 
ARCHITECTURE rome_xil_a OF rome_xil IS
 
-- synopsys translate_off
component wrapped_rome_xil
port (
A: IN std_logic_VECTOR(5 downto 0);
71,18 → 71,18
c_width => 14,
c_reg_a_d_inputs => 0,
c_latency => 1,
c_has_spo => 0,
c_has_we => 0,
c_has_spo => 0,
c_depth => 64,
c_has_i_ce => 0,
c_default_data_radix => 2,
c_default_data => "0",
c_default_data_radix => 2,
c_has_dpra => 0,
c_has_clk => 1,
c_enable_rlocs => 1,
c_enable_rlocs => 0,
c_generate_mif => 1,
c_has_qspo_ce => 0,
c_addr_width => 6,
c_has_qspo_ce => 0,
c_has_qdpo_srst => 0,
c_mux_type => 0,
c_has_spra => 0,
89,19 → 89,20
c_has_qdpo => 0,
c_mem_init_file => "c:/elektronika/dct/mdct/source/xilinx/rome_xil.mif",
c_reg_dpra_input => 0,
c_has_qspo_srst => 0,
c_has_rd_en => 0,
c_has_qspo_srst => 0,
c_read_mif => 1,
c_sync_enable => 0,
c_has_qdpo_ce => 0);
-- synopsys translate_on
BEGIN
 
-- synopsys translate_off
U0 : wrapped_rome_xil
port map (
A => A,
CLK => CLK,
QSPO => QSPO);
-- synopsys translate_on
 
END rome_xil_a;
 
-- synopsys translate_on
 
/trunk/DOC/mdct_spec.doc Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/mdct.mpf
225,7 → 225,7
UserTimeUnit = default
 
; Default run length
RunLength = 1 ps
RunLength = 0 ps
 
; Maximum iterations that can be run without advancing simulation time
IterationLimit = 5000
619,6 → 619,6
XML_CustomDoubleClick =
LOGFILE_DoubleClick = Edit
LOGFILE_CustomDoubleClick =
EditorState = {tabbed horizontal 1} {C:/elektronika/dct/MDCT/source/testbench/INPIMAGE.VHD 0 0} {C:/elektronika/dct/MDCT/source/testbench/MDCTTB_PKG.vhd 0 0} {C:/elektronika/dct/MDCT/source/MDCT.VHD 0 0} {C:/elektronika/dct/MDCT/source/testbench/MDCT_TB.VHD 0 1}
EditorState = {tabbed horizontal 1} {C:/elektronika/dct/MDCT/source/DCT1D.vhd 0 0} {C:/elektronika/dct/MDCT/source/testbench/INPIMAGE.VHD 0 0} {F:/Xilinx/vhdl/src/simprims/simprim_VITAL_mti.vhd 0 0} {C:/elektronika/dct/MDCT/source/testbench/MDCTTB_PKG.vhd 0 1}
Project_Major_Version = 6
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.