URL
https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk
Subversion Repositories uart_fpga_slow_control_migrated
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 17 to Rev 18
- ↔ Reverse comparison
Rev 17 → Rev 18
/uart_fpga_slow_control/trunk/code/ab_top.vhd
47,8 → 47,6
library ieee; |
use ieee.std_logic_1164.all; |
use ieee.numeric_std.all; |
use ieee.std_logic_arith.all; |
use ieee.std_logic_unsigned.all; |
|
--============================================================================= |
-- Entity declaration for ab_top |