OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/xge_mac/trunk/tbench/systemc/sc_pkt_if.h
54,7 → 54,7
 
sc_in<bool> reset_156m25_n;
 
sc_out<long unsigned int > pkt_tx_data;
sc_out<unsigned long long > pkt_tx_data;
sc_out<bool> pkt_tx_eop;
sc_out<unsigned int> pkt_tx_mod;
sc_out<bool> pkt_tx_sop;
63,7 → 63,7
sc_in<bool> pkt_tx_full;
 
sc_in<bool> pkt_rx_avail;
sc_in<long unsigned int > pkt_rx_data;
sc_in<unsigned long long > pkt_rx_data;
sc_in<bool> pkt_rx_eop;
sc_in<unsigned int> pkt_rx_mod;
sc_in<bool> pkt_rx_err;
/xge_mac/trunk/tbench/systemc/sc_main.cpp
77,7 → 77,7
sc_clock clk_xgmii ("clk_xgmii", 10, SC_NS, 0.5);
 
sc_signal<bool> pkt_rx_ren;
sc_signal<long unsigned int > pkt_tx_data;
sc_signal<unsigned long long > pkt_tx_data;
sc_signal<bool> pkt_tx_eop;
sc_signal<unsigned int> pkt_tx_mod;
sc_signal<bool> pkt_tx_sop;
91,10 → 91,10
sc_signal<bool> wb_stb_i;
sc_signal<bool> wb_we_i;
sc_signal<unsigned int> xgmii_rxc;
sc_signal<long unsigned int > xgmii_rxd;
sc_signal<unsigned long long > xgmii_rxd;
 
sc_signal<bool> pkt_rx_avail;
sc_signal<long unsigned int > pkt_rx_data;
sc_signal<unsigned long long > pkt_rx_data;
sc_signal<bool> pkt_rx_eop;
sc_signal<unsigned int> pkt_rx_mod;
sc_signal<bool> pkt_rx_sop;
105,7 → 105,7
sc_signal<unsigned int> wb_dat_o;
sc_signal<bool> wb_int_o;
sc_signal<unsigned int> xgmii_txc;
sc_signal<long unsigned int > xgmii_txd;
sc_signal<unsigned long long > xgmii_txd;
 
//==========
// Part under test
/xge_mac/trunk/tbench/systemc/sc_testbench.h
72,12 → 72,12
sc_out<bool> wb_we_i;
 
sc_out<unsigned int> xgmii_rxc;
sc_out<long unsigned int > xgmii_rxd;
sc_out<unsigned long long > xgmii_rxd;
 
sc_in<unsigned int> xgmii_txc;
sc_in<long unsigned int > xgmii_txd;
sc_in<unsigned long long > xgmii_txd;
 
sc_out<long unsigned int > pkt_tx_data;
sc_out<unsigned long long > pkt_tx_data;
sc_out<bool> pkt_tx_eop;
sc_out<unsigned int> pkt_tx_mod;
sc_out<bool> pkt_tx_sop;
86,7 → 86,7
sc_in<bool> pkt_tx_full;
 
sc_in<bool> pkt_rx_avail;
sc_in<long unsigned int > pkt_rx_data;
sc_in<unsigned long long > pkt_rx_data;
sc_in<bool> pkt_rx_eop;
sc_in<unsigned int> pkt_rx_mod;
sc_in<bool> pkt_rx_err;
/xge_mac/trunk/tbench/systemc/sc_xgmii_if.h
55,10 → 55,10
sc_in<bool> reset_xgmii_n;
 
sc_out<unsigned int> xgmii_rxc;
sc_out<long unsigned int > xgmii_rxd;
sc_out<unsigned long long > xgmii_rxd;
 
sc_in<unsigned int> xgmii_txc;
sc_in<long unsigned int > xgmii_txd;
sc_in<unsigned long long > xgmii_txd;
 
private:
 
/xge_mac/trunk/sim/systemc/compile.sh
33,6 → 33,6
 
make -f ../sc.mk verilated_vcd_sc.o
 
g++ -L$SYSTEMC/lib-linux64 sc_main.o sc_testcases.o sc_testbench.o sc_pkt_if.o sc_xgmii_if.o sc_cpu_if.o sc_pkt_generator.o sc_scoreboard.o sc_packet.o crc.o Vxge_mac__ALLcls.o Vxge_mac__ALLsup.o verilated.o verilated_vcd_c.o verilated_vcd_sc.o -o Vxge_mac -lsystemc
g++ -L$SYSTEMC/lib-linux -L$SYSTEMC/lib-linux64 sc_main.o sc_testcases.o sc_testbench.o sc_pkt_if.o sc_xgmii_if.o sc_cpu_if.o sc_pkt_generator.o sc_scoreboard.o sc_packet.o crc.o Vxge_mac__ALLcls.o Vxge_mac__ALLsup.o verilated.o verilated_vcd_c.o verilated_vcd_sc.o -o Vxge_mac -lsystemc
 
cd ..

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.