OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 171 to Rev 172
    Reverse comparison

Rev 171 → Rev 172

/raytrac/branches/fp/raytrac.vhd
106,7 → 106,6
--! Señales de DPC a invr32.
--! Se&ntilde que va desde DPC -> Memblock
signal s_resultfifo_wr : std_logic_vector (7 downto 0);
signal s_resultsfifo_w : std_logic_vector (4 downto 0);
signal s_dpfifo_w : std_logic;
signal s_dpfifo_r : std_logic;
signal s_dpfifo_d : std_logic_vector (2*32-1 downto 0);
123,6 → 122,8
signal s_s : vectorblock04;
signal s_p : vectorblock06;
--!TBXEND
signal s_resultsfifo_w : std_logic_vector (4 downto 0);
--!TBXSTART:IM
--! Señales de Interruption Machine al testbench
signal s_iCtrlState : iCtrlState;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.