OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 178 to Rev 179
    Reverse comparison

Rev 178 → Rev 179

/t400/trunk/bench/vhdl/tb_t421-c.vhd
2,7 → 2,7
--
-- Testbench for the T421 system toplevel.
--
-- $Id: tb_t421-c.vhd,v 1.1 2006-06-11 13:49:50 arniml Exp $
-- $Id$
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
25,10 → 25,3
end for;
 
end tb_t421_behav_c0;
 
 
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t421-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_int-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_int-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_int-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for interrupt evaluation. -- --- $Id: tb_int-c.vhd,v 1.2 2006-06-05 14:42:50 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,13 +25,3 @@ end for; end tb_int_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/05/27 19:08:21 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_int-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_pack-p.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_pack-p.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: tb_pack-p.vhd,v 1.3 2006-05-27 19:09:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -37,16 +37,3 @@ signal tb_sa_s : pc_t; end tb_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/23 01:17:25 arniml --- drive IN port --- --- Revision 1.1 2006/05/15 21:55:27 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/lpm_rom.vhd =================================================================== --- t400/trunk/bench/vhdl/lpm_rom.vhd (revision 178) +++ t400/trunk/bench/vhdl/lpm_rom.vhd (revision 179)
t400/trunk/bench/vhdl/lpm_rom.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_microbus.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_microbus.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_microbus.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for MICROBUS evaluation. -- --- $Id: tb_microbus.vhd,v 1.1 2006-06-05 21:04:52 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -262,10 +262,3 @@ end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_microbus.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/t410_rom-lpm-a.vhd =================================================================== --- t400/trunk/bench/vhdl/t410_rom-lpm-a.vhd (revision 178) +++ t400/trunk/bench/vhdl/t410_rom-lpm-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410 ROM wrapper for lpm_rom. -- --- $Id: t410_rom-lpm-a.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -87,10 +87,3 @@ ); end lpm; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/t410_rom-lpm-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_prod-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_prod-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_prod-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the production test. -- --- $Id: tb_prod-c.vhd,v 1.1 2006-06-10 18:50:51 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_prod_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_prod-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/t420_rom-lpm-a.vhd =================================================================== --- t400/trunk/bench/vhdl/t420_rom-lpm-a.vhd (revision 178) +++ t400/trunk/bench/vhdl/t420_rom-lpm-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420 ROM wrapper for lpm_rom. -- --- $Id: t420_rom-lpm-a.vhd,v 1.1 2006-05-14 22:31:08 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -87,10 +87,3 @@ ); end lpm; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/t420_rom-lpm-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_elems-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_elems-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_elems-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Generic testbench elements -- --- $Id: tb_elems-c.vhd,v 1.1 2006-05-15 21:55:27 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end tb_elems_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_elems-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/lpm_ram_dq.vhd =================================================================== --- t400/trunk/bench/vhdl/lpm_ram_dq.vhd (revision 178) +++ t400/trunk/bench/vhdl/lpm_ram_dq.vhd (revision 179)
t400/trunk/bench/vhdl/lpm_ram_dq.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/t410_rom-lpm-c.vhd =================================================================== --- t400/trunk/bench/vhdl/t410_rom-lpm-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/t410_rom-lpm-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410 ROM wrapper for lpm_rom. -- --- $Id: t410_rom-lpm-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -21,10 +21,3 @@ end for; end t410_rom_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/t410_rom-lpm-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/t420_rom-lpm-c.vhd =================================================================== --- t400/trunk/bench/vhdl/t420_rom-lpm-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/t420_rom-lpm-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420 ROM wrapper for lpm_rom. -- --- $Id: t420_rom-lpm-c.vhd,v 1.1 2006-05-14 22:31:08 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -21,10 +21,3 @@ end for; end t420_rom_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/t420_rom-lpm-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t410.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t410.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t410.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T410 system toplevel. -- --- $Id: tb_t410.vhd,v 1.1 2006-06-11 22:19:32 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -124,28 +124,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2006/06/05 18:50:45 arniml --- remove obsolete en_clk_s --- --- Revision 1.5 2006/05/27 19:10:12 arniml --- explicitly select clock divider 8 --- --- Revision 1.4 2006/05/23 01:18:26 arniml --- consider IN port --- --- Revision 1.3 2006/05/15 21:56:02 arniml --- moved elements to separate design unit tb_elems --- --- Revision 1.2 2006/05/06 13:34:25 arniml --- remove delta cycle filter on sk_s --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t410.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t420.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t420.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t420.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T420 system toplevel. -- --- $Id: tb_t420.vhd,v 1.5 2006-06-05 18:50:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -129,22 +129,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/05/27 19:10:20 arniml --- explicitly select clock divider 4 --- --- Revision 1.3 2006/05/24 00:48:49 arniml --- connect cko_i to bit 2 of IN bus --- --- Revision 1.2 2006/05/23 01:18:10 arniml --- consider CKO and IN port --- --- Revision 1.1 2006/05/15 22:21:59 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t420.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t411.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t411.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t411.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T411 system toplevel. -- --- $Id: tb_t411.vhd,v 1.6 2006-06-05 18:50:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -124,25 +124,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2006/05/27 19:10:12 arniml --- explicitly select clock divider 8 --- --- Revision 1.4 2006/05/23 01:18:26 arniml --- consider IN port --- --- Revision 1.3 2006/05/15 21:56:02 arniml --- moved elements to separate design unit tb_elems --- --- Revision 1.2 2006/05/06 13:34:25 arniml --- remove delta cycle filter on sk_s --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t411.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_int.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_int.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_int.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for interrupt evaluation. -- --- $Id: tb_int.vhd,v 1.5 2006-06-05 18:50:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -189,22 +189,3 @@ (others => 'H'); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/05/28 23:09:20 arniml --- lower nibble is OD to prevent contention with testbench --- --- Revision 1.3 2006/05/28 15:36:59 arniml --- don't generate interrupt when in interrupt routine around 0x100 --- --- Revision 1.2 2006/05/28 02:53:47 arniml --- provide SA at L port --- --- Revision 1.1 2006/05/27 19:08:21 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_int.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t421.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t421.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t421.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T421 system toplevel. -- --- $Id: tb_t421.vhd,v 1.1 2006-06-11 13:49:50 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -128,10 +128,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t421.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_microbus-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_microbus-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_microbus-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for MICROBUS evaluation. -- --- $Id: tb_microbus-c.vhd,v 1.1 2006-06-05 21:04:52 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_microbus_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_microbus-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_prod.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_prod.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_prod.vhd (revision 179) @@ -6,7 +6,7 @@ -- COP Note 7 -- April 1991 -- --- $Id: tb_prod.vhd,v 1.1 2006-06-10 18:50:51 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -442,10 +442,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_prod.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_elems.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_elems.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_elems.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Generic testbench elements -- --- $Id: tb_elems.vhd,v 1.6 2006-05-27 22:48:00 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -304,25 +304,3 @@ end process clk; end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2006/05/27 19:08:53 arniml --- add fail reporting for port d --- --- Revision 1.4 2006/05/23 01:17:25 arniml --- drive IN port --- --- Revision 1.3 2006/05/18 00:24:18 arniml --- extend D-port checks --- --- Revision 1.2 2006/05/17 00:47:45 arniml --- enhance G-port check for T420 --- --- Revision 1.1 2006/05/15 21:55:27 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_elems.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t410-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t410-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t410-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T410 system toplevel. -- --- $Id: tb_t410-c.vhd,v 1.1 2006-06-11 22:19:32 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_t410_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t410-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t411-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t411-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t411-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T411 system toplevel. -- --- $Id: tb_t411-c.vhd,v 1.2 2006-05-15 21:56:02 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,13 +25,3 @@ end for; end tb_t411_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t411-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/bench/vhdl/tb_t420-c.vhd =================================================================== --- t400/trunk/bench/vhdl/tb_t420-c.vhd (revision 178) +++ t400/trunk/bench/vhdl/tb_t420-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T420 system toplevel. -- --- $Id: tb_t420-c.vhd,v 1.1 2006-05-15 22:21:59 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_t420_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/bench/vhdl/tb_t420-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/t400_tech_comp_pack-p.vhd =================================================================== --- t400/trunk/rtl/tech/t400_tech_comp_pack-p.vhd (revision 178) +++ t400/trunk/rtl/tech/t400_tech_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_tech_comp_pack-p.vhd,v 1.2 2006-06-05 20:31:00 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -55,13 +55,3 @@ end t400_tech_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/tech/t400_tech_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/spartan/t400_por-c.vhd =================================================================== --- t400/trunk/rtl/tech/spartan/t400_por-c.vhd (revision 178) +++ t400/trunk/rtl/tech/spartan/t400_por-c.vhd (revision 179) @@ -1,5 +1,5 @@ ------------------------------------------------------------------------------- --- $Id: t400_por-c.vhd,v 1.1 2006-05-07 01:47:51 arniml Exp $ +-- $Id$ ------------------------------------------------------------------------------- configuration t400_por_rtl_c0 of t400_por is @@ -8,10 +8,3 @@ end for; end t400_por_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/tech/spartan/t400_por-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/spartan/t400_por.vhd =================================================================== --- t400/trunk/rtl/tech/spartan/t400_por.vhd (revision 178) +++ t400/trunk/rtl/tech/spartan/t400_por.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T400 Core -- --- $Id: t400_por.vhd,v 1.1 2006-05-07 01:47:51 arniml Exp $ +-- $Id$ -- -- Wrapper for technology dependent power-on reset circuitry. --
t400/trunk/rtl/tech/spartan/t400_por.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/cyclone/t400_por-c.vhd =================================================================== --- t400/trunk/rtl/tech/cyclone/t400_por-c.vhd (revision 178) +++ t400/trunk/rtl/tech/cyclone/t400_por-c.vhd (revision 179) @@ -1,5 +1,5 @@ ------------------------------------------------------------------------------- --- $Id: t400_por-c.vhd,v 1.2 2006-05-07 01:49:16 arniml Exp $ +-- $Id$ ------------------------------------------------------------------------------- configuration t400_por_rtl_c0 of t400_por is @@ -8,13 +8,3 @@ end for; end t400_por_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/tech/cyclone/t400_por-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/cyclone/t400_por.vhd =================================================================== --- t400/trunk/rtl/tech/cyclone/t400_por.vhd (revision 178) +++ t400/trunk/rtl/tech/cyclone/t400_por.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T400 Core -- --- $Id: t400_por.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Wrapper for technology dependent power-on reset circuitry. -- @@ -113,10 +113,3 @@ por_n_o <= por_n_q; end cyclone; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/tech/cyclone/t400_por.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/generic/generic_ram-c.vhd =================================================================== --- t400/trunk/rtl/tech/generic/generic_ram-c.vhd (revision 178) +++ t400/trunk/rtl/tech/generic/generic_ram-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM. -- --- $Id: generic_ram-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
t400/trunk/rtl/tech/generic/generic_ram-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/generic/generic_ram.vhd =================================================================== --- t400/trunk/rtl/tech/generic/generic_ram.vhd (revision 178) +++ t400/trunk/rtl/tech/generic/generic_ram.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM. -- --- $Id: generic_ram.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) --
t400/trunk/rtl/tech/generic/generic_ram.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/generic/generic_ram_ena-c.vhd =================================================================== --- t400/trunk/rtl/tech/generic/generic_ram_ena-c.vhd (revision 178) +++ t400/trunk/rtl/tech/generic/generic_ram_ena-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM with enable. -- --- $Id: generic_ram_ena-c.vhd,v 1.1 2006-06-05 20:01:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
t400/trunk/rtl/tech/generic/generic_ram_ena-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/tech/generic/generic_ram_ena.vhd =================================================================== --- t400/trunk/rtl/tech/generic/generic_ram_ena.vhd (revision 178) +++ t400/trunk/rtl/tech/generic/generic_ram_ena.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM with enable. -- --- $Id: generic_ram_ena.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) --
t400/trunk/rtl/tech/generic/generic_ram_ena.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_in.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_in.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_in.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The IN port controller. -- --- $Id: t400_io_in.vhd,v 1.3 2006-05-27 19:14:18 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -164,18 +164,3 @@ int_o <= int_icyc_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/23 01:13:28 arniml --- + reset neg_edge flip-flops to '1' --- -> after por, a 1-to-0 edge is required to trigger the latches initially --- + use to_X01 --- --- Revision 1.1 2006/05/22 00:00:55 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_in.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t410_notri.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t410_notri.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t410_notri.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T410/411 controller toplevel without tri-states. -- --- $Id: t410_notri.vhd,v 1.4 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -226,19 +225,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/06/05 20:03:11 arniml --- include generic_ram_ena --- --- Revision 1.2 2006/05/08 02:36:38 arniml --- hand-down clock divider option --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t410_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t400_system_comp_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t400_system_comp_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t400_system_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_system_comp_pack-p.vhd,v 1.6 2006-06-11 22:18:52 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -250,26 +250,3 @@ end component; end t400_system_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2006/06/11 13:48:13 arniml --- * t421 added --- * fixed generic list for t420 and t420_notri --- --- Revision 1.4 2006/06/05 20:02:46 arniml --- use microbus generic --- --- Revision 1.3 2006/05/23 01:16:19 arniml --- routi CKO to t400_core --- --- Revision 1.2 2006/05/14 22:29:33 arniml --- t420 hierarchies added --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t400_system_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t420_notri.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t420_notri.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t420_notri.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T420/421 controller toplevel without tri-states. -- --- $Id: t420_notri.vhd,v 1.4 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -225,19 +224,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/06/11 13:48:43 arniml --- hand generic opt_type_g down to t400_core --- --- Revision 1.2 2006/06/05 20:03:52 arniml --- connect microbus generic and include generic_ram_ena --- --- Revision 1.1 2006/05/14 22:29:01 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t420_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t410_rom-struct-a.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t410_rom-struct-a.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t410_rom-struct-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410/411 ROM. -- --- $Id: t410_rom-struct-a.vhd,v 1.1 2006-06-05 22:44:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -63,10 +63,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t410_rom-struct-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t420_rom-struct-a.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t420_rom-struct-a.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t420_rom-struct-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420/421 ROM. -- --- $Id: t420_rom-struct-a.vhd,v 1.1 2006-06-05 22:44:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -63,10 +63,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t420_rom-struct-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t410-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t410-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t410-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410 system toplevel. -- --- $Id: t410-c.vhd,v 1.1 2006-06-11 22:18:28 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t410_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t410-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t411-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t411-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t411-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T411 system toplevel. -- --- $Id: t411-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t411_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t411-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t420-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t420-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t420-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420 system toplevel. -- --- $Id: t420-c.vhd,v 1.1 2006-05-14 22:29:01 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t420_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t420-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t421-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t421-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t421-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T421 system toplevel. -- --- $Id: t421-c.vhd,v 1.1 2006-06-11 13:47:24 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t421_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t421-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t410_notri-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t410_notri-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t410_notri-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410/411 controller toplevel without tri-states. -- --- $Id: t410_notri-c.vhd,v 1.3 2006-06-05 20:03:11 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -33,16 +33,3 @@ end for; end t410_notri_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/07 01:49:03 arniml --- renamed t400_por configuration to rtl --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t410_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t420_notri-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t420_notri-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t420_notri-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420/421 controller toplevel without tri-states. -- --- $Id: t420_notri-c.vhd,v 1.2 2006-06-05 20:03:11 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -33,13 +33,3 @@ end for; end t420_notri_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/05/14 22:29:01 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t420_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t410_rom-e.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t410_rom-e.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t410_rom-e.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410/411 ROM. -- --- $Id: t410_rom-e.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -55,10 +55,3 @@ ); end t410_rom; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t410_rom-e.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t410.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t410.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t410.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T410 system toplevel. -- --- $Id: t410.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -187,13 +186,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/06/11 22:18:28 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t410.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t420_rom-e.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t420_rom-e.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t420_rom-e.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420/421 ROM. -- --- $Id: t420_rom-e.vhd,v 1.1 2006-05-14 22:29:01 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -55,10 +55,3 @@ ); end t420_rom; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t420_rom-e.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t411.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t411.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t411.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T411 system toplevel. -- --- $Id: t411.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -185,13 +184,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t411.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t420.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t420.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t420.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T420 system toplevel. -- --- $Id: t420.vhd,v 1.8 2008-08-28 18:51:58 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -189,32 +188,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2008/08/23 11:19:20 arniml --- added name keyword --- --- Revision 1.6 2006/06/11 13:49:18 arniml --- * hand generic opt_type_g down to t420_notri --- * full generic list --- --- Revision 1.5 2006/06/05 20:04:11 arniml --- connect microbus generic --- --- Revision 1.4 2006/05/23 01:16:05 arniml --- routi CKO to t400_core --- --- Revision 1.3 2006/05/20 02:49:04 arniml --- select CK divide by 8 --- --- Revision 1.2 2006/05/17 00:38:31 arniml --- connect missing input direction for IO G --- --- Revision 1.1 2006/05/14 22:29:01 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t420.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/system/t421.vhd =================================================================== --- t400/trunk/rtl/vhdl/system/t421.vhd (revision 178) +++ t400/trunk/rtl/vhdl/system/t421.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T421 system toplevel. -- --- $Id: t421.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -191,13 +190,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/06/11 13:47:24 arniml --- initial check-in --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/system/t421.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_timer-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_timer-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_timer-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The timer unit. -- --- $Id: t400_timer-c.vhd,v 1.1 2006-05-20 02:47:12 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_timer_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_timer-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_core_comp_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_core_comp_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_core_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_core_comp_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -70,10 +70,3 @@ end component; end t400_core_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_core_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_reset-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_reset-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_reset-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The reset generation unit. -- --- $Id: t400_reset-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_reset_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_reset-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_pmem_ctrl-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_pmem_ctrl-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_pmem_ctrl-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Program memory controller. -- --- $Id: t400_pmem_ctrl-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_pmem_ctrl_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_pmem_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_io_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -80,10 +80,3 @@ end io_en_f; end t400_io_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_dmem_ctrl.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_dmem_ctrl.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_dmem_ctrl.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Data memory controller. -- --- $Id: t400_dmem_ctrl.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -242,10 +242,3 @@ b_o(bd_range_t) <= std_logic_vector(bd_q); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_dmem_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_skip.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_skip.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_skip.vhd (revision 179) @@ -3,7 +3,7 @@ -- The skip unit. -- Skip conditions are checked here and communicated to the decoder unit. -- --- $Id: t400_skip.vhd,v 1.5 2006-06-05 14:20:34 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -215,22 +215,3 @@ skip_lbi_o <= skip_lbi_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/05/28 15:35:33 arniml --- fix pop'ing of skip flag --- --- Revision 1.3 2006/05/27 19:16:52 arniml --- interrupt functionality added --- --- Revision 1.2 2006/05/20 02:47:52 arniml --- skip-on-timer implemented --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_skip.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_core-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_core-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_core-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T400 Microcontroller Core -- --- $Id: t400_core-c.vhd,v 1.3 2006-05-22 00:03:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -77,16 +77,3 @@ end for; end t400_core_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/20 02:48:17 arniml --- timer module included --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_core-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_sio-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_sio-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_sio-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The serial input/output unit. -- --- $Id: t400_sio-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_sio_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_sio-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_pack-p.vhd,v 1.4 2008-05-01 19:51:47 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -117,19 +117,3 @@ SIO_LOAD); end t400_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/05/27 19:16:52 arniml --- interrupt functionality added --- --- Revision 1.2 2006/05/22 00:01:21 arniml --- operations for IN port added --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_timer.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_timer.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_timer.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The timer unit. -- --- $Id: t400_timer.vhd,v 1.1 2006-05-20 02:47:12 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -113,10 +113,3 @@ c_o <= c_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_timer.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_reset.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_reset.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_reset.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The reset generation unit. -- --- $Id: t400_reset.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -136,10 +136,3 @@ res_o <= res_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_reset.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_pmem_ctrl.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_pmem_ctrl.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_pmem_ctrl.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Program memory controller. -- --- $Id: t400_pmem_ctrl.vhd,v 1.3 2006-05-28 15:32:40 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -181,16 +181,3 @@ pm_addr_o <= pc_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/27 19:16:52 arniml --- interrupt functionality added --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_pmem_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_clkgen-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_clkgen-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_clkgen-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The clock generation unit. -- PHI1 clock and input/output clock enables are generated here. -- --- $Id: t400_clkgen-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,10 +17,3 @@ end for; end t400_clkgen_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_clkgen-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_stack-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_stack-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_stack-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The stack unit. -- --- $Id: t400_stack-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_stack_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_stack-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_decoder-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_decoder-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_decoder-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The decoder unit. -- Implements the instruction opcodes and controls all units of the T400 core. -- --- $Id: t400_decoder-c.vhd,v 1.2 2008-05-01 19:49:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,13 +17,3 @@ end for; end t400_decoder_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_decoder-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_core.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_core.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_core.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T400 Microcontroller Core -- --- $Id: t400_core.vhd,v 1.12 2008-08-23 11:19:17 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -522,46 +521,3 @@ end generate; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.11 2008/05/01 19:51:12 arniml --- removed obsolete signals --- --- Revision 1.10 2006/06/11 13:34:39 arniml --- Fix bug: --- "Timer skipped in T421 configuration" --- The generate block that instantiates the timer module considers --- now t400_opt_type_421_x as well. --- --- Revision 1.9 2006/06/06 00:33:56 arniml --- remove note about limitations --- --- Revision 1.8 2006/06/05 20:34:21 arniml --- use dedicated microbus cs/rd/wr strobes --- --- Revision 1.7 2006/06/05 14:19:15 arniml --- connect microbus control signals to IO L --- --- Revision 1.6 2006/05/27 19:11:33 arniml --- updates for interrupt support --- --- Revision 1.5 2006/05/23 01:13:56 arniml --- use to_X01 for G input --- --- Revision 1.4 2006/05/22 00:03:29 arniml --- io_in added --- --- Revision 1.3 2006/05/21 21:47:40 arniml --- route cko to ALU for INIL instruction --- --- Revision 1.2 2006/05/20 02:48:17 arniml --- timer module included --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_core.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_comp_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_comp_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_comp_pack-p.vhd,v 1.8 2008-05-01 19:49:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -349,31 +349,3 @@ end component; end t400_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2006/06/05 20:31:56 arniml --- microbus support for IO G added --- --- Revision 1.6 2006/06/05 14:20:34 arniml --- interface comments added --- --- Revision 1.5 2006/05/27 19:11:33 arniml --- updates for interrupt support --- --- Revision 1.4 2006/05/22 00:03:08 arniml --- io_in added --- --- Revision 1.3 2006/05/21 21:47:40 arniml --- route cko to ALU for INIL instruction --- --- Revision 1.2 2006/05/20 02:48:17 arniml --- timer module included --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_d-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_d-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_d-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The D port controller. -- --- $Id: t400_io_d-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_d_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_d-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_sio.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_sio.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_sio.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The serial input/output unit. -- --- $Id: t400_sio.vhd,v 1.3 2006-05-07 02:24:16 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -287,16 +287,3 @@ dat => sk_s, opt => opt_sk_output_type_g); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/06 13:34:58 arniml --- phi1_en_q is dedicated enable for PHI1 clock to suppress glitches on sk_o --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_sio.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_g-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_g-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_g-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The G port controller. -- --- $Id: t400_io_g-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_g_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_g-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_opt_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_opt_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_opt_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_opt_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -36,10 +36,3 @@ constant t400_opt_microbus_c : integer := 1; end t400_opt_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_opt_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_mnemonic_pack-p.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_mnemonic_pack-p.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_mnemonic_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_mnemonic_pack-p.vhd,v 1.1 2008-05-01 19:52:37 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2008, Arnim Laeuger (arniml@opencores.org) -- @@ -299,10 +299,3 @@ end; end t400_mnemonic_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_mnemonic_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_alu-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_alu-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_alu-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The Arithmetic Logic Unit (ALU). -- It contains the accumulator and the C flag. -- --- $Id: t400_alu-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,10 +17,3 @@ end for; end t400_alu_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_alu-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_l-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_l-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_l-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The L port controller. -- --- $Id: t400_io_l-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_l_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_l-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_clkgen.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_clkgen.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_clkgen.vhd (revision 179) @@ -3,7 +3,7 @@ -- The clock generation unit. -- PHI1 clock and input/output clock enables are generated here. -- --- $Id: t400_clkgen.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -140,10 +140,3 @@ in_en_o <= ck_en_i and ck_div_half_s; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_clkgen.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_stack.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_stack.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_stack.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The stack unit. -- --- $Id: t400_stack.vhd,v 1.3 2006-06-11 02:12:11 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -140,16 +140,3 @@ pc_o <= sa_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/27 19:15:59 arniml --- instrument testbench --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_stack.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_decoder.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_decoder.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_decoder.vhd (revision 179) @@ -3,7 +3,7 @@ -- The decoder unit. -- Implements the instruction opcodes and controls all units of the T400 core. -- --- $Id: t400_decoder.vhd,v 1.7 2008-05-01 19:49:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -856,28 +856,3 @@ en_o <= en_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2006/06/05 14:20:34 arniml --- interface comments added --- --- Revision 1.5 2006/05/28 15:32:14 arniml --- execute virtual NOP at location 0x0ff when vectoring to interrupt routine --- --- Revision 1.4 2006/05/27 19:14:18 arniml --- interrupt functionality added --- --- Revision 1.3 2006/05/22 00:02:36 arniml --- instructions ININ and INIL implemented --- --- Revision 1.2 2006/05/07 02:24:16 arniml --- fix sensitivity list --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_decoder.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_d.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_d.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_d.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The D port controller. -- --- $Id: t400_io_d.vhd,v 1.2 2006-05-07 02:24:16 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -152,13 +152,3 @@ ----------------------------------------------------------------------------- end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_d.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_in-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_in-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_in-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The IN port controller. -- --- $Id: t400_io_in-c.vhd,v 1.1 2006-05-22 00:00:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_in_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_in-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_g.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_g.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_g.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The G port controller. -- --- $Id: t400_io_g.vhd,v 1.3 2006-06-05 20:32:34 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -167,16 +167,3 @@ ----------------------------------------------------------------------------- end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/07 02:24:16 arniml --- fix sensitivity list --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_g.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_alu.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_alu.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_alu.vhd (revision 179) @@ -3,7 +3,7 @@ -- The Arithmetic Logic Unit (ALU). -- It contains the accumulator and the C flag. -- --- $Id: t400_alu.vhd,v 1.3 2006-05-22 00:01:56 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -248,16 +248,3 @@ c_o <= c_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/21 21:47:40 arniml --- route cko to ALU for INIL instruction --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_alu.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_io_l.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_io_l.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_io_l.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The L port controller. -- --- $Id: t400_io_l.vhd,v 1.4 2006-06-05 20:33:24 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -213,19 +213,3 @@ ----------------------------------------------------------------------------- end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/06/05 14:21:21 arniml --- latch io_l_i upon input enable trigger --- --- Revision 1.2 2006/05/23 01:14:28 arniml --- use to_X01 for primary input bus --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_io_l.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_skip-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_skip-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_skip-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The skip unit. -- Skip conditions are checked here and communicated to the decoder unit. -- --- $Id: t400_skip-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,10 +17,3 @@ end for; end t400_skip_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_skip-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/rtl/vhdl/t400_dmem_ctrl-c.vhd =================================================================== --- t400/trunk/rtl/vhdl/t400_dmem_ctrl-c.vhd (revision 178) +++ t400/trunk/rtl/vhdl/t400_dmem_ctrl-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Data memory controller. -- --- $Id: t400_dmem_ctrl-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_dmem_ctrl_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400/trunk/rtl/vhdl/t400_dmem_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/CHANGELOG =================================================================== --- t400/trunk/CHANGELOG (revision 178) +++ t400/trunk/CHANGELOG (revision 179) @@ -1,8 +1,7 @@ Change log for the T400 uController core ======================================== -Version: $Date: 2008-05-01 20:14:52 $ -$Name: not supported by cvs2svn $ +Version: $Id$ Release 1.1
t400/trunk/CHANGELOG Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sim/rtl_sim/Makefile =================================================================== --- t400/trunk/sim/rtl_sim/Makefile (revision 178) +++ t400/trunk/sim/rtl_sim/Makefile (revision 179) @@ -2,7 +2,7 @@ # # Makefile for the T400 Core project. # -# $Id: Makefile,v 1.13 2008-05-01 19:50:34 arniml Exp $ +# $Id$ # # The dependencies for all VHDL source files are stored here. #
t400/trunk/sim/rtl_sim/Makefile Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/include/pass_fail.asm =================================================================== --- t400/trunk/sw/verif/include/pass_fail.asm (revision 178) +++ t400/trunk/sw/verif/include/pass_fail.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: pass_fail.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Provides pass/fail signalling via port L. ;;
t400/trunk/sw/verif/include/pass_fail.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/include/int_macros.inc =================================================================== --- t400/trunk/sw/verif/include/int_macros.inc (revision 178) +++ t400/trunk/sw/verif/include/int_macros.inc (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: int_macros.inc,v 1.4 2006-05-28 15:26:33 arniml Exp $ + ;; $Id$ ;; ;; Defines macros for interrupt tests. ;;
t400/trunk/sw/verif/include/int_macros.inc Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/include/int_pass_fail.asm =================================================================== --- t400/trunk/sw/verif/include/int_pass_fail.asm (revision 178) +++ t400/trunk/sw/verif/include/int_pass_fail.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: int_pass_fail.asm,v 1.1 2006-05-27 19:06:37 arniml Exp $ + ;; $Id$ ;; ;; Provides pass/fail signalling via port D for interrupt tests. ;;
t400/trunk/sw/verif/include/int_pass_fail.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/skgbz/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/skgbz/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/skgbz/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the SKGBZ instruction. ;;
t400/trunk/sw/verif/black_box/skgbz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/rmb_smb/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/rmb_smb/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/rmb_smb/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the RMB and SMB instructions. ;; Starting with 0 in M, all bits are set and then reset.
t400/trunk/sw/verif/black_box/rmb_smb/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/clra/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/clra/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/clra/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the CLRA instruction. ;;
t400/trunk/sw/verif/black_box/clra/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/skmbz/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/skmbz/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/skmbz/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the SKGMZ instruction. ;;
t400/trunk/sw/verif/black_box/skmbz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/rc_sc/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/rc_sc/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/rc_sc/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the RC and SC instructions. ;;
t400/trunk/sw/verif/black_box/rc_sc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/lqid/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/lqid/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/lqid/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-21 17:30:32 arniml Exp $ + ;; $Id$ ;; ;; Checks the LQID and INL instruction. ;;
t400/trunk/sw/verif/black_box/lqid/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/xds/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/xds/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/xds/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the XDS instruction. ;;
t400/trunk/sw/verif/black_box/xds/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/ld/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/ld/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/ld/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the LD instruction. ;; Br can't be observed directly via XABR on COP41x.
t400/trunk/sw/verif/black_box/ld/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/pass/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/pass/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/pass/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-14 22:32:37 arniml Exp $ + ;; $Id$ ;; ;; Pass test. ;; Always finds the pass mark.
t400/trunk/sw/verif/black_box/pass/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/asc/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/asc/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/asc/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-16 23:43:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the ASC instruction. ;;
t400/trunk/sw/verif/black_box/asc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/jid/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/jid/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/jid/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the JID instruction. ;;
t400/trunk/sw/verif/black_box/jid/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/casc/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/casc/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/casc/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-16 07:57:23 arniml Exp $ + ;; $Id$ ;; ;; Checks the CASC instruction. ;;
t400/trunk/sw/verif/black_box/casc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/jp/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/jp/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/jp/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-17 00:29:52 arniml Exp $ + ;; $Id$ ;; ;; Checks the JP instruction. ;; Both for pages 2,3 and other pages.
t400/trunk/sw/verif/black_box/jp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/xad/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/xad/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/xad/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-19 00:56:03 arniml Exp $ + ;; $Id$ ;; ;; Checks the XAD instruction. ;;
t400/trunk/sw/verif/black_box/xad/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/ogi/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/ogi/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/ogi/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-16 22:54:07 arniml Exp $ + ;; $Id$ ;; ;; Checks the OGI instruction. ;;
t400/trunk/sw/verif/black_box/ogi/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/omg_ing/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/omg_ing/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/omg_ing/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-17 00:39:13 arniml Exp $ + ;; $Id$ ;; ;; Checks the OMG and ING instructions. ;;
t400/trunk/sw/verif/black_box/omg_ing/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/skc/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/skc/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/skc/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the SKC instruction. ;;
t400/trunk/sw/verif/black_box/skc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/ske/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/ske/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/ske/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the SKE instruction. ;;
t400/trunk/sw/verif/black_box/ske/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/lbi_short/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/lbi_short/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/lbi_short/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the LBI instruction (single byte). ;;
t400/trunk/sw/verif/black_box/lbi_short/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/lbi_long/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/lbi_long/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/lbi_long/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-18 01:17:12 arniml Exp $ + ;; $Id$ ;; ;; Checks the LBI instruction (two byte). ;;
t400/trunk/sw/verif/black_box/lbi_long/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/jmp/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/jmp/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/jmp/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the JMP instruction. ;;
t400/trunk/sw/verif/black_box/jmp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/add/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/add/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/add/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the ADD instruction. ;;
t400/trunk/sw/verif/black_box/add/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/retsk/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/retsk/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/retsk/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the RETSK instruction. ;;
t400/trunk/sw/verif/black_box/retsk/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/cab_cba/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/cab_cba/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/cab_cba/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the CAB & CBA instructions. ;;
t400/trunk/sw/verif/black_box/cab_cba/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/ret/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/ret/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/ret/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the RET instruction. ;;
t400/trunk/sw/verif/black_box/ret/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/xas/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/xas/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/xas/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-27 22:46:29 arniml Exp $ + ;; $Id$ ;; ;; Checks the XAS instruction. ;;
t400/trunk/sw/verif/black_box/xas/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/inil/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/inil/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/inil/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-24 00:48:04 arniml Exp $ + ;; $Id$ ;; ;; Checks the INIL instruction. ;;
t400/trunk/sw/verif/black_box/inil/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/xabr/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/xabr/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/xabr/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-20 01:53:06 arniml Exp $ + ;; $Id$ ;; ;; Checks the XABR instruction. ;;
t400/trunk/sw/verif/black_box/xabr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/inin/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/inin/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/inin/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-22 23:17:59 arniml Exp $ + ;; $Id$ ;; ;; Checks the ININ instruction. ;;
t400/trunk/sw/verif/black_box/inin/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/jsr/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/jsr/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/jsr/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the JSR instruction. ;;
t400/trunk/sw/verif/black_box/jsr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/comp/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/comp/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/comp/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the COMP instruction. ;;
t400/trunk/sw/verif/black_box/comp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/skt/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/skt/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/skt/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-20 02:46:20 arniml Exp $ + ;; $Id$ ;; ;; Checks the SKT instruction. ;;
t400/trunk/sw/verif/black_box/skt/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/ldd/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/ldd/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/ldd/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-20 17:56:22 arniml Exp $ + ;; $Id$ ;; ;; Checks the LDD instruction. ;;
t400/trunk/sw/verif/black_box/ldd/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/xis/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/xis/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/xis/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the XIS instruction. ;;
t400/trunk/sw/verif/black_box/xis/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/obd/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/obd/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/obd/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-18 00:22:43 arniml Exp $ + ;; $Id$ ;; ;; Checks the OBD instruction. ;;
t400/trunk/sw/verif/black_box/obd/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/x/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/x/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/x/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the X instruction. ;; Br can't be observed directly via XABR on COP41x.
t400/trunk/sw/verif/black_box/x/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/adt/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/adt/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/adt/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-15 23:36:16 arniml Exp $ + ;; $Id$ ;; ;; Checks the ADT instruction. ;;
t400/trunk/sw/verif/black_box/adt/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/stii/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/stii/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/stii/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the STII instruction. ;;
t400/trunk/sw/verif/black_box/stii/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/xor/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/xor/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/xor/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the XOR instruction. ;;
t400/trunk/sw/verif/black_box/xor/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/skgz/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/skgz/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/skgz/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the SKGZ instruction. ;;
t400/trunk/sw/verif/black_box/skgz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/black_box/jsrp/test.asm =================================================================== --- t400/trunk/sw/verif/black_box/jsrp/test.asm (revision 178) +++ t400/trunk/sw/verif/black_box/jsrp/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ + ;; $Id$ ;; ;; Checks the JSRP instruction. ;;
t400/trunk/sw/verif/black_box/jsrp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/system/microbus/test.asm =================================================================== --- t400/trunk/sw/verif/system/microbus/test.asm (revision 178) +++ t400/trunk/sw/verif/system/microbus/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-06-05 21:03:44 arniml Exp $ + ;; $Id$ ;; ;; Test the MICROBUS functionality. ;;
t400/trunk/sw/verif/system/microbus/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/system/production_test/test.asm =================================================================== --- t400/trunk/sw/verif/system/production_test/test.asm (revision 178) +++ t400/trunk/sw/verif/system/production_test/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-06-10 18:49:47 arniml Exp $ + ;; $Id$ ;; ;; Production test as proposed by ;; "Testing of COP400 Familiy Devices"
t400/trunk/sw/verif/system/production_test/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/aisc/test.asm =================================================================== --- t400/trunk/sw/verif/int/aisc/test.asm (revision 178) +++ t400/trunk/sw/verif/int/aisc/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-28 19:22:11 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on AISC. ;; AISC is interrupted twice:
t400/trunk/sw/verif/int/aisc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/skip_tci/test.asm =================================================================== --- t400/trunk/sw/verif/int/skip_tci/test.asm (revision 178) +++ t400/trunk/sw/verif/int/skip_tci/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-06-05 02:12:36 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on a skipped "transfer of control" instruction. ;;
t400/trunk/sw/verif/int/skip_tci/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/jid/test.asm =================================================================== --- t400/trunk/sw/verif/int/jid/test.asm (revision 178) +++ t400/trunk/sw/verif/int/jid/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-28 21:59:08 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on JID. ;;
t400/trunk/sw/verif/int/jid/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/jmp/test.asm =================================================================== --- t400/trunk/sw/verif/int/jmp/test.asm (revision 178) +++ t400/trunk/sw/verif/int/jmp/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.3 2006-05-28 15:28:30 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on JMP. ;;
t400/trunk/sw/verif/int/jmp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/jsrp_retsk/test.asm =================================================================== --- t400/trunk/sw/verif/int/jsrp_retsk/test.asm (revision 178) +++ t400/trunk/sw/verif/int/jsrp_retsk/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-28 19:21:13 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on JSRP and RETSK. ;;
t400/trunk/sw/verif/int/jsrp_retsk/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/lbi/test.asm =================================================================== --- t400/trunk/sw/verif/int/lbi/test.asm (revision 178) +++ t400/trunk/sw/verif/int/lbi/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-31 00:55:32 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on LBI. ;; LBI is interrupted twice:
t400/trunk/sw/verif/int/lbi/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/pass/test.asm =================================================================== --- t400/trunk/sw/verif/int/pass/test.asm (revision 178) +++ t400/trunk/sw/verif/int/pass/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-27 19:05:42 arniml Exp $ + ;; $Id$ ;; ;; Pass interrupt test. ;; Always finds the pass mark when there's an interrupt
t400/trunk/sw/verif/int/pass/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/skmbz/test.asm =================================================================== --- t400/trunk/sw/verif/int/skmbz/test.asm (revision 178) +++ t400/trunk/sw/verif/int/skmbz/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-06-01 00:36:16 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on SKMBZ. ;; AISC is interrupted twice:
t400/trunk/sw/verif/int/skmbz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/jsr_ret/test.asm =================================================================== --- t400/trunk/sw/verif/int/jsr_ret/test.asm (revision 178) +++ t400/trunk/sw/verif/int/jsr_ret/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2006-05-28 19:22:35 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on JSR and RET. ;;
t400/trunk/sw/verif/int/jsr_ret/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/sequence/test.asm =================================================================== --- t400/trunk/sw/verif/int/sequence/test.asm (revision 178) +++ t400/trunk/sw/verif/int/sequence/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-06-05 02:12:19 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on a sequence of "transfer of control" ;; instructions.
t400/trunk/sw/verif/int/sequence/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/jp/test.asm =================================================================== --- t400/trunk/sw/verif/int/jp/test.asm (revision 178) +++ t400/trunk/sw/verif/int/jp/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.4 2006-05-28 15:29:19 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on JP. ;;
t400/trunk/sw/verif/int/jp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/lqid/test.asm =================================================================== --- t400/trunk/sw/verif/int/lqid/test.asm (revision 178) +++ t400/trunk/sw/verif/int/lqid/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-05-28 23:07:31 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on LQID. ;;
t400/trunk/sw/verif/int/lqid/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/verif/int/nop/test.asm =================================================================== --- t400/trunk/sw/verif/int/nop/test.asm (revision 178) +++ t400/trunk/sw/verif/int/nop/test.asm (revision 179) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.3 2006-05-28 15:30:06 arniml Exp $ + ;; $Id$ ;; ;; Checks interrupt on NOP. ;;
t400/trunk/sw/verif/int/nop/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/sw/run_regression.pl =================================================================== --- t400/trunk/sw/run_regression.pl (revision 178) +++ t400/trunk/sw/run_regression.pl (revision 179) @@ -4,7 +4,7 @@ # # run_regression.pl # -# $Id: run_regression.pl,v 1.6 2006-06-11 22:20:45 arniml Exp $ +# $Id$ # # Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) #
t400/trunk/sw/run_regression.pl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/syn/t421/xc3s1000/compile_project.tcl =================================================================== --- t400/trunk/syn/t421/xc3s1000/compile_project.tcl (revision 178) +++ t400/trunk/syn/t421/xc3s1000/compile_project.tcl (revision 179) @@ -2,7 +2,7 @@ # # Generic project compile script # -# $Id: compile_project.tcl,v 1.1 2008-04-26 22:13:59 arniml Exp $ +# $Id$ # # Execute from within xtclsh. #
t400/trunk/syn/t421/xc3s1000/compile_project.tcl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/syn/t421/xc3s1000/gen_ise_project.tcl =================================================================== --- t400/trunk/syn/t421/xc3s1000/gen_ise_project.tcl (revision 178) +++ t400/trunk/syn/t421/xc3s1000/gen_ise_project.tcl (revision 179) @@ -1,6 +1,6 @@ ############################################################################### # -# $Id: gen_ise_project.tcl,v 1.1 2008-04-26 22:13:59 arniml Exp $ +# $Id$ # # Based on # Created by Phil Hays, Xilinx
t400/trunk/syn/t421/xc3s1000/gen_ise_project.tcl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/syn/t421/xc3s1000/Makefile =================================================================== --- t400/trunk/syn/t421/xc3s1000/Makefile (revision 178) +++ t400/trunk/syn/t421/xc3s1000/Makefile (revision 179) @@ -2,7 +2,7 @@ # # Generic Makefile for ISE projects # -# $Id: Makefile,v 1.1 2008-04-26 22:13:59 arniml Exp $ +# $Id$ # # Execute with 'make ', where target is one of # ise : build ISE project from
t400/trunk/syn/t421/xc3s1000/Makefile Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/KNOWN_BUGS =================================================================== --- t400/trunk/KNOWN_BUGS (revision 178) +++ t400/trunk/KNOWN_BUGS (revision 179) @@ -1,7 +1,7 @@ Known bugs of the T400 uController core ======================================= -Version: $Date: 2006-06-11 13:38:16 $ +Version: $Id$ Release 0.1 BETA
t400/trunk/KNOWN_BUGS Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400/trunk/README =================================================================== --- t400/trunk/README (revision 178) +++ t400/trunk/README (revision 179) @@ -1,7 +1,7 @@ README for the T400 uController project ======================================= -Version: $Date: 2008-04-27 22:28:06 $ +Version: $Id$ Introduction
t400/trunk/README Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.