OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 185 to Rev 186
    Reverse comparison

Rev 185 → Rev 186

/raytrac/branches/fp/raytrac.vhd
45,7 → 45,7
d : in std_logic_vector (31 downto 0);
--! Interrupciones
int : out std_logic;
irq : out std_logic;
--! Salidas
q : out std_logic_vector (31 downto 0)
132,7 → 132,7
begin
 
--! Sacar las interrupciones
int <= s_int;
irq <= s_int;
--! Signo de los bloques de suma
s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.