OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 186 to Rev 187
    Reverse comparison

Rev 186 → Rev 187

/theia_gpu/branches/icarus_version/scripts/run_regressions.pl
54,7 → 54,7
#print Dumper(%TestList);
for my $TestName (keys %TestList)
{
chdir $ScriptPath
chdir $ScriptPath;
my $TestPath = $TestList{$TestName}->{'path'};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.