URL
https://opencores.org/ocsvn/wb_lpc/wb_lpc/trunk
Subversion Repositories wb_lpc
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 19 to Rev 20
- ↔ Reverse comparison
Rev 19 → Rev 20
/trunk/examples/lpc_7seg/top_lpc_7seg.v
File deleted
trunk/examples/lpc_7seg/top_lpc_7seg.v
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/examples/lpc_7seg/disp_dec.vhd
===================================================================
--- trunk/examples/lpc_7seg/disp_dec.vhd (revision 19)
+++ trunk/examples/lpc_7seg/disp_dec.vhd (nonexistent)
@@ -1,83 +0,0 @@
---------------------------------------------------------------------------------
--- Company:
--- Engineer:
---
--- Create Date: 16:07:18 01/02/06
--- Design Name:
--- Module Name: dip_dec - Behavioral
--- Project Name:
--- Target Device:
--- Tool versions:
--- Description:
---
--- Dependencies:
---
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
---
---------------------------------------------------------------------------------
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.STD_LOGIC_ARITH.ALL;
-use IEEE.STD_LOGIC_UNSIGNED.ALL;
-
----- Uncomment the following library declaration if instantiating
----- any Xilinx primitives in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
-
-entity disp_dec is
-port (
- disp_dec_in : in std_logic_vector(3 downto 0);
- disp_dec_out : out std_logic_vector(6 downto 0)
- );
-end disp_dec;
-
-
-architecture disp_dec_behave of disp_dec is
-
-begin
-
-
-process (disp_dec_in)
-begin
- case disp_dec_in is
- when "0000" =>
- disp_dec_out <= "1000000";
- when "0001" =>
- disp_dec_out <= "1111001";
- when "0010" =>
- disp_dec_out <= "0100100";
- when "0011" =>
- disp_dec_out <= "0110000";
- when "0100" =>
- disp_dec_out <= "0011001";
- when "0101" =>
- disp_dec_out <= "0010010";
- when "0110" =>
- disp_dec_out <= "0000010";
- when "0111" =>
- disp_dec_out <= "1111000";
- when "1000" =>
- disp_dec_out <= "0000000";
- when "1001" =>
- disp_dec_out <= "0010000";
- when "1010" =>
- disp_dec_out <= "0001000";
- when "1011" =>
- disp_dec_out <= "0000011";
- when "1100" =>
- disp_dec_out <= "1000110";
- when "1101" =>
- disp_dec_out <= "0100001";
- when "1110" =>
- disp_dec_out <= "0000110";
- when "1111" =>
- disp_dec_out <= "0001110";
- when others =>
- disp_dec_out <= "1111111";
- end case;
-end process;
-
-end disp_dec_behave;
\ No newline at end of file
trunk/examples/lpc_7seg/disp_dec.vhd
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/examples/lpc_7seg/wb_7seg.vhd
===================================================================
--- trunk/examples/lpc_7seg/wb_7seg.vhd (revision 19)
+++ trunk/examples/lpc_7seg/wb_7seg.vhd (nonexistent)
@@ -1,155 +0,0 @@
---------------------------------------------------------------------------------
--- Company:
--- Engineer:
---
--- Create Date: 10:22:14 12/29/05
--- Design Name:
--- Module Name: wb_7seg - Behavioral
--- Project Name:
--- Target Device:
--- Tool versions:
--- Description:
---
--- Dependencies:
---
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
---
---------------------------------------------------------------------------------
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.STD_LOGIC_ARITH.ALL;
-use IEEE.STD_LOGIC_UNSIGNED.ALL;
-
-
-entity wb_7seg is
- port(
-
- clk_i : in std_logic;
- nrst_i : in std_logic;
- wb_adr_i : in std_logic_vector(24 downto 0);
- wb_dat_o : out std_logic_vector(31 downto 0);
- wb_dat_i : in std_logic_vector(31 downto 0);
- wb_sel_i : in std_logic_vector(3 downto 0);
- wb_we_i : in std_logic;
- wb_stb_i : in std_logic;
- wb_cyc_i : in std_logic;
- wb_ack_o : out std_logic;
- wb_err_o : out std_logic;
- wb_int_o : out std_logic;
- DISP_SEL : inout std_logic_vector(3 downto 0);
- DISP_LED : out std_logic_vector(6 downto 0)
-
- );
-
-end wb_7seg;
-
-architecture wb_7seg_behav of wb_7seg is
-
-component disp_dec
-port (
- disp_dec_in : in std_logic_vector(3 downto 0);
- disp_dec_out : out std_logic_vector(6 downto 0)
- );
-end component;
-
-
- signal data_reg : std_logic_vector(31 downto 0);
- signal disp_cnt : std_logic_vector(6 downto 0);
- signal disp_data : std_logic_vector(3 downto 0);
- signal disp_data_led : std_logic_vector(6 downto 0);
- signal disp_pos : std_logic_vector(3 downto 0);
- constant DISP_CNT_MAX : std_logic_vector(6 downto 0) := "1111111";
-
-
-
-begin
-
-process (clk_i,nrst_i)
-begin
- if nrst_i = '0' then
- data_reg <= x"10eef00d";
- elsif ( clk_i'event and clk_i = '1' ) then
- if ( wb_stb_i = '1' and wb_we_i = '1' ) then
- data_reg <= wb_dat_i;
- end if;
- end if;
-end process;
-
-wb_ack_o <= wb_stb_i;
-wb_err_o <= '0';
-wb_int_o <= '0';
-wb_dat_o <= data_reg;
-
-
-
-process (clk_i,nrst_i)
-begin
- if nrst_i = '0' then
- disp_cnt <= ( others => '0' );
- elsif clk_i'event and clk_i = '1' then
- disp_cnt <= disp_cnt + 1;
- end if;
-end process;
-
-process (clk_i,nrst_i)
-begin
- if nrst_i = '0' then
- disp_pos <= "0001";
- elsif clk_i'event and clk_i = '1' then
- if disp_cnt = DISP_CNT_MAX then
- disp_pos <= (
- 3 => DISP_SEL(2), 2 => DISP_SEL(1),
- 1 => DISP_SEL(0), 0 => DISP_SEL(3)
- );
- end if;
- end if;
-end process;
-
-process (clk_i,nrst_i)
-begin
- if nrst_i = '0' then
- disp_data <= "0000";
- elsif clk_i'event and clk_i = '1' then
- case DISP_SEL is
- when "1000" =>
- disp_data <= data_reg(3 downto 0);
- when "0100" =>
- disp_data <= data_reg(7 downto 4);
- when "0010" =>
- disp_data <= data_reg(11 downto 8);
- when "0001" =>
- disp_data <= data_reg(15 downto 12);
- when others =>
- disp_data <= (others => '0');
- end case;
- end if;
-end process;
-
-
-u1: component disp_dec
-port map (
- disp_dec_in => disp_data,
- disp_dec_out => disp_data_led
- );
-
-process (clk_i,nrst_i)
-begin
- if nrst_i = '0' then
- DISP_LED <= (others => '0');
- elsif clk_i'event and clk_i = '1' then
- DISP_LED <= disp_data_led;
- end if;
-end process;
-
-process (clk_i,nrst_i)
-begin
- if nrst_i = '0' then
- DISP_SEL <= (others => '0');
- elsif clk_i'event and clk_i = '1' then
- DISP_SEL <= disp_pos;
- end if;
-end process;
-
-end wb_7seg_behav;
trunk/examples/lpc_7seg/wb_7seg.vhd
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/examples/lpc_7seg/lpc_7seg.ucf
===================================================================
--- trunk/examples/lpc_7seg/lpc_7seg.ucf (revision 19)
+++ trunk/examples/lpc_7seg/lpc_7seg.ucf (nonexistent)
@@ -1,31 +0,0 @@
-#PACE: Start of Constraints generated by PACE
-
-#PACE: Start of PACE I/O Pin Assignments
-NET "DISP_LED<0>" LOC = "AB20" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<1>" LOC = "AA20" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<2>" LOC = "V18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<3>" LOC = "Y17" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<4>" LOC = "AB18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<5>" LOC = "AA18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<6>" LOC = "W18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<0>" LOC = "AA17" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<1>" LOC = "U17" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<2>" LOC = "U16" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<3>" LOC = "U14" | IOSTANDARD = LVCMOS33 ;
-NET "LAD<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
-NET "LAD<1>" LOC = "U5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
-NET "LAD<2>" LOC = "V2" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
-NET "LAD<3>" LOC = "V1" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
-NET "LAD_OE" LOC = "T5" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 12 ;
-NET "LFRAME" LOC = "W2" | IOSTANDARD = LVCMOS33 ;
-NET "LPC_CLK" LOC = "W1" | IOSTANDARD = LVCMOS33 ;
-NET "RST" LOC = "A19" | IOSTANDARD = PCI33_3 ;
-
-# Update for ISE 10.1, which complains about this.
-NET "LPC_CLK" CLOCK_DEDICATED_ROUTE = FALSE;
-
-#PACE: Start of PACE Area Constraints
-
-#PACE: Start of PACE Prohibit Constraints
-
-#PACE: End of Constraints generated by PACE
trunk/examples/lpc_7seg/lpc_7seg.ucf
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/examples/lpc_7seg/lpc_7seg.bit
===================================================================
--- trunk/examples/lpc_7seg/lpc_7seg.bit (revision 19)
+++ trunk/examples/lpc_7seg/lpc_7seg.bit (nonexistent)
@@ -1,79 +0,0 @@
- a
-lpc_7seg.ncd b 3s400fg456 c 2008/07/24 d 19:45:14 e =Uf0 0` D0 @ ?0A0 0 0 0 0 @ P 0W 0aH 0aH c @&