OpenCores
URL https://opencores.org/ocsvn/gost28147-89/gost28147-89/trunk

Subversion Repositories gost28147-89

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/gost28147-89/trunk/rtl/gost89_mac.v
14,12 → 14,6
reg [31:0] n1, n2;
wire [31:0] out1, out2;
 
initial begin
busy = 0;
counter = 17;
need_xor = 0;
end
 
gost89_round
rnd(clk, sbox, round_key, n1, n2, out1, out2);
 
28,7 → 22,6
counter <= 17;
need_xor <= 0;
busy <= 0;
out <= 32'h xxxxxxxx;
end
 
if (!reset && load_data) begin
42,7 → 35,6
end
counter <= 0;
busy <= 1;
out <= 32'h xxxxxxxx;
end
 
if (reset && load_data) begin
51,7 → 43,6
counter <= 0;
need_xor <= 1;
busy <= 1;
out <= 32'h xxxxxxxx;
end
 
if (!reset && !load_data) begin
86,6 → 77,5
13: round_key <= key[95:64];
14: round_key <= key[63:32];
15: round_key <= key[31:0];
default: round_key <= 32'h xxxxxxxx;
endcase
endmodule
/gost28147-89/trunk/rtl/gost89_ecb.v
12,11 → 12,6
reg [31:0] n1, n2, round_key;
wire [31:0] out1, out2;
 
initial begin
busy = 0;
round_num = 32;
end
 
gost89_round
rnd(clk, sbox, round_key, n1, n2, out1, out2);
 
24,7 → 19,6
if (load_data) begin
n1 <= in[63:32];
n2 <= in[31:0];
out <= 64'h xxxxxxxxxxxxxxxx;
busy <= 1;
round_num <= 0;
end
83,7 → 77,6
29: round_key <= key[191:160];
30: round_key <= key[223:192];
31: round_key <= key[255:224];
default: round_key <= 32'h xxxxxxxx;
endcase
endmodule
 
113,7 → 106,6
if (load_data) begin
n1 <= in[63:32];
n2 <= in[31:0];
out <= 64'h xxxxxxxxxxxxxxxx;
busy <= 1;
round_num <= 0;
end
172,6 → 164,5
29: round_key <= key[191:160];
30: round_key <= key[223:192];
31: round_key <= key[255:224];
default: round_key <= 32'h xxxxxxxx;
endcase
endmodule
/gost28147-89/trunk/rtl/gost89_cfb.v
21,13 → 21,11
always @(posedge clk) begin
if (reset && !load_data) begin
gamma <= in;
out <= 64'h xxxxxxxxxxxxxxxx;
busy <= 0;
end
 
if (!reset & load_data) begin
in_value <= in;
out <= 64'h xxxxxxxxxxxxxxxx;
busy <= 1;
end
 
62,13 → 60,11
always @(posedge clk) begin
if (reset && !load_data) begin
gamma <= in;
out <= 64'h xxxxxxxxxxxxxxxx;
busy <= 0;
end
 
if (!reset & load_data) begin
in_value <= in;
out <= 64'h xxxxxxxxxxxxxxxx;
busy <= 1;
end
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.