OpenCores
URL https://opencores.org/ocsvn/russels_paradox/russels_paradox/trunk

Subversion Repositories russels_paradox

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/russels_paradox/trunk/barber.vhd
21,7 → 21,8
use IEEE.std_logic_unsigned.all;
 
entity barbers is
Port ( a_barber_shaves_event : in STD_LOGIC;
Port ( big_bang : in STD_LOGIC;
a_barber_shaves_event : in STD_LOGIC;
a_barber_doesnt_shave_event : in STD_LOGIC; --like for a day...
number_of_barbers_who_may_shave_themselves : out STD_LOGIC;
number_of_barbers_who_may_not_shave_themselves : out STD_LOGIC);
31,7 → 32,7
 
begin
 
process(a_barber_shaves_event, a_barber_doesnt_shave_event)
process(big_bang, a_barber_shaves_event, a_barber_doesnt_shave_event)
begin
if big_bang = '1' then
number_of_barbers_who_may_shave_themselves <= x"0";
50,7 → 51,7
 
begin
 
process(a_barber_shaves_event)
process(big_bang, a_barber_shaves_event)
begin
if big_bang = '1' then
counter1 <= x"f";
59,7 → 60,7
end if;
end process;
 
process(a_barber_doesnt_shave_event)
process(big_bang, a_barber_doesnt_shave_event)
begin
if big_bang = '1' then
counter2 <= x"0";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.