OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/tags/VSFR_1/bench/bench.vhdl
0,0 → 1,92
-- $Id: bench.vhdl,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Test Bench
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : bench.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/23
-- Last update :
-- Simulators : Modelsim 6.0
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Test bench for FM receiver
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
 
entity bench is
port (
clock : in bit;
reset : in bit
-- test_signal_fm : out bit_vector (07 downto 0);
-- test_signal_fmTri : out bit_vector (07 downto 0);
-- output_fm : out bit_vector (11 downto 0)
);
-- port (
-- clock : out bit;
-- fmout : out bit;
-- reset : out bit;
-- );
end bench;
 
architecture structural of bench is
component fm
port (
CLK : in bit;
RESET : in bit;
FMIN : in bit_vector (07 downto 0);
DMOUT : out bit_vector (11 downto 0)
);
end component;
component input_fm
port (
clock : in bit;
clear : in bit;
test_signal_fm : out bit_vector (07 downto 0);
test_signal_fmTri: out bit_vector (07 downto 0)
);
end component;
 
-- signal clock : bit;
-- signal reset : bit;
signal test_signal_fm : bit_vector (07 downto 0);
signal test_signal_fmTri : bit_vector (07 downto 0);
signal output_fm : bit_vector (11 downto 0);
 
begin
-- reset <= '0';
 
-- process
-- variable run_time : time := 1024ns;
-- begin
-- wait for run_time;
-- clear <= '1';
-- reset <= '1';
-- exit;
-- end process;
 
myinput : input_fm
port map (
clock => clock,
clear => reset,
test_signal_fm => test_signal_fm,
test_signal_fmTri=> test_signal_fmTri
);
myfm : fm
port map (
CLK => clock,
RESET => reset,
FMIN => test_signal_fm,
DMOUT (11 downto 0) => output_fm
);
end structural;
/tags/VSFR_1/bench/Makefile
0,0 → 1,14
# $Id: Makefile,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $
#
all :
vasy -V -o bench.vhdl
verilog :
vasy -V -v -o bench.vhdl
clean_vhd :
rm -v -f *.vhd
clean_v :
rm -v -f *.v
clean_vbe :
rm -v -f *.vbe
clean :
echo "use make clean_vbe, clean_vhd, clean_v to clean up"
/tags/VSFR_1/bench/input_fm.vhdl
0,0 → 1,2124
-- $Id: input_fm.vhdl,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Input signal FM
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : input_fm.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/22
-- Last update :
-- Simulators : Modelsim 6.0
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Input signal FM 1000 signal
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
 
entity input_fm is
port (
-- clear : in bit;
-- clock_out : out bit;
-- counter : in bit_vector (09 downto 0);
clock : in bit;
clear : in bit;
test_signal_fm : out bit_vector (07 downto 0);
test_signal_fmTri : out bit_vector (07 downto 0)
);
end input_fm;
 
architecture input_data of input_fm is
component adder_10bit
port (
addend_10bit : in bit_vector (09 downto 0);
augend_10bit : in bit_vector (09 downto 0);
adder10_output : out bit_vector (10 downto 0)
);
end component;
 
-- signal clock : bit;
-- signal clear : bit;
signal test_signal_fm_int : bit_vector (07 downto 0);
signal test_signal_fmTri_int : bit_vector (07 downto 0);
signal counter : bit_vector (09 downto 0);
signal counter_tmp : bit_vector (10 downto 0);
signal one_increment : bit_vector (09 downto 0);
-- signal counter : bit_vector (09 downto 0);
begin
 
 
one_increment (00) <= '1';
one_increment (01) <= '0';
one_increment (02) <= '0';
one_increment (03) <= '0';
one_increment (04) <= '0';
one_increment (05) <= '0';
one_increment (06) <= '0';
one_increment (07) <= '0';
one_increment (08) <= '0';
one_increment (09) <= '0';
-- process
-- variable delay_time : time := 1ns;
-- begin
-- wait for delay_time;
-- clock <= not(clock);
-- clock <= '0';
-- clock <= '1';
-- end process;
 
-- process
-- variable run_time : time := 1024ns;
-- begin
-- wait for run_time;
-- clear <= '1';
-- exit;
-- end process;
 
counter_one : adder_10bit
port map (
addend_10bit => counter,
augend_10bit => one_increment,
adder10_output => counter_tmp
);
process (clock, clear)
begin
-- if (clear = '1') then
-- counter (09 downto 0) <= (others => B"0000000000");
-- elsif (((clock = '1') and clock'event) and (not(clear = '1')) then
if (((clock = '1') and (not( clear = '1'))) and clock'event) then
counter(09 downto 0) <= counter_tmp(09 downto 0);
-- clock_out <= clock;
test_signal_fm <= test_signal_fm_int;
test_signal_fmTri <= test_signal_fmTri_int;
elsif (clear = '1') then
counter <= (others => '0');
test_signal_fm <= (others => '0');
test_signal_fmTri <= (others => '0');
end if;
end process;
 
with counter (09 downto 0) select
test_signal_fm_int <=
-- START INPUT FM SIGNAL
 
B"01111111" when B"0000000000", -- INDEX 0
B"01110110" when B"0000000001", -- INDEX 1
B"01011010" when B"0000000010", -- INDEX 2
B"00110000" when B"0000000011", -- INDEX 3
B"11111110" when B"0000000100", -- INDEX 4
B"11001101" when B"0000000101", -- INDEX 5
B"10100011" when B"0000000110", -- INDEX 6
B"10001000" when B"0000000111", -- INDEX 7
B"10000000" when B"0000001000", -- INDEX 8
B"10001100" when B"0000001001", -- INDEX 9
B"10101001" when B"0000001010", -- INDEX 10
B"11010100" when B"0000001011", -- INDEX 11
B"00000110" when B"0000001100", -- INDEX 12
B"00110111" when B"0000001101", -- INDEX 13
B"01011111" when B"0000001110", -- INDEX 14
B"01111001" when B"0000001111", -- INDEX 15
B"01111111" when B"0000010000", -- INDEX 16
B"01110011" when B"0000010001", -- INDEX 17
B"01010100" when B"0000010010", -- INDEX 18
B"00101000" when B"0000010011", -- INDEX 19
B"11110110" when B"0000010100", -- INDEX 20
B"11000101" when B"0000010101", -- INDEX 21
B"10011110" when B"0000010110", -- INDEX 22
B"10000110" when B"0000010111", -- INDEX 23
B"10000001" when B"0000011000", -- INDEX 24
B"10001111" when B"0000011001", -- INDEX 25
B"10101111" when B"0000011010", -- INDEX 26
B"11011100" when B"0000011011", -- INDEX 27
B"00001110" when B"0000011100", -- INDEX 28
B"00111110" when B"0000011101", -- INDEX 29
B"01100101" when B"0000011110", -- INDEX 30
B"01111011" when B"0000011111", -- INDEX 31
B"01111111" when B"0000100000", -- INDEX 32
B"01101111" when B"0000100001", -- INDEX 33
B"01001110" when B"0000100010", -- INDEX 34
B"00100000" when B"0000100011", -- INDEX 35
B"11101110" when B"0000100100", -- INDEX 36
B"10111110" when B"0000100101", -- INDEX 37
B"10011001" when B"0000100110", -- INDEX 38
B"10000100" when B"0000100111", -- INDEX 39
B"10000010" when B"0000101000", -- INDEX 40
B"10010011" when B"0000101001", -- INDEX 41
B"10110110" when B"0000101010", -- INDEX 42
B"11100100" when B"0000101011", -- INDEX 43
B"00010110" when B"0000101100", -- INDEX 44
B"01000101" when B"0000101101", -- INDEX 45
B"01101001" when B"0000101110", -- INDEX 46
B"01111101" when B"0000101111", -- INDEX 47
B"01111110" when B"0000110000", -- INDEX 48
B"01101011" when B"0000110001", -- INDEX 49
B"01000111" when B"0000110010", -- INDEX 50
B"00011000" when B"0000110011", -- INDEX 51
B"11100110" when B"0000110100", -- INDEX 52
B"10111000" when B"0000110101", -- INDEX 53
B"10010100" when B"0000110110", -- INDEX 54
B"10000010" when B"0000110111", -- INDEX 55
B"10000011" when B"0000111000", -- INDEX 56
B"10011000" when B"0000111001", -- INDEX 57
B"10111100" when B"0000111010", -- INDEX 58
B"11101011" when B"0000111011", -- INDEX 59
B"00011110" when B"0000111100", -- INDEX 60
B"01001100" when B"0000111101", -- INDEX 61
B"01101110" when B"0000111110", -- INDEX 62
B"01111111" when B"0000111111", -- INDEX 63
B"01111100" when B"0001000000", -- INDEX 64
B"01100110" when B"0001000001", -- INDEX 65
B"01000000" when B"0001000010", -- INDEX 66
B"00010001" when B"0001000011", -- INDEX 67
B"11011110" when B"0001000100", -- INDEX 68
B"10110001" when B"0001000101", -- INDEX 69
B"10010000" when B"0001000110", -- INDEX 70
B"10000001" when B"0001000111", -- INDEX 71
B"10000101" when B"0001001000", -- INDEX 72
B"10011100" when B"0001001001", -- INDEX 73
B"11000011" when B"0001001010", -- INDEX 74
B"11110011" when B"0001001011", -- INDEX 75
B"00100110" when B"0001001100", -- INDEX 76
B"01010010" when B"0001001101", -- INDEX 77
B"01110010" when B"0001001110", -- INDEX 78
B"01111111" when B"0001001111", -- INDEX 79
B"01111010" when B"0001010000", -- INDEX 80
B"01100001" when B"0001010001", -- INDEX 81
B"00111001" when B"0001010010", -- INDEX 82
B"00001001" when B"0001010011", -- INDEX 83
B"11010111" when B"0001010100", -- INDEX 84
B"10101011" when B"0001010101", -- INDEX 85
B"10001101" when B"0001010110", -- INDEX 86
B"10000000" when B"0001010111", -- INDEX 87
B"10001000" when B"0001011000", -- INDEX 88
B"10100010" when B"0001011001", -- INDEX 89
B"11001010" when B"0001011010", -- INDEX 90
B"11111011" when B"0001011011", -- INDEX 91
B"00101101" when B"0001011100", -- INDEX 92
B"01011000" when B"0001011101", -- INDEX 93
B"01110101" when B"0001011110", -- INDEX 94
B"01111111" when B"0001011111", -- INDEX 95
B"01110111" when B"0001100000", -- INDEX 96
B"01011100" when B"0001100001", -- INDEX 97
B"00110010" when B"0001100010", -- INDEX 98
B"00000001" when B"0001100011", -- INDEX 99
B"11001111" when B"0001100100", -- INDEX 100
B"10100101" when B"0001100101", -- INDEX 101
B"10001001" when B"0001100110", -- INDEX 102
B"10000000" when B"0001100111", -- INDEX 103
B"10001011" when B"0001101000", -- INDEX 104
B"10100111" when B"0001101001", -- INDEX 105
B"11010010" when B"0001101010", -- INDEX 106
B"00000100" when B"0001101011", -- INDEX 107
B"00110101" when B"0001101100", -- INDEX 108
B"01011110" when B"0001101101", -- INDEX 109
B"01111000" when B"0001101110", -- INDEX 110
B"01111111" when B"0001101111", -- INDEX 111
B"01110100" when B"0001110000", -- INDEX 112
B"01010110" when B"0001110001", -- INDEX 113
B"00101010" when B"0001110010", -- INDEX 114
B"11111000" when B"0001110011", -- INDEX 115
B"11001000" when B"0001110100", -- INDEX 116
B"10100000" when B"0001110101", -- INDEX 117
B"10000111" when B"0001110110", -- INDEX 118
B"10000000" when B"0001110111", -- INDEX 119
B"10001110" when B"0001111000", -- INDEX 120
B"10101101" when B"0001111001", -- INDEX 121
B"11011001" when B"0001111010", -- INDEX 122
B"00001100" when B"0001111011", -- INDEX 123
B"00111100" when B"0001111100", -- INDEX 124
B"01100011" when B"0001111101", -- INDEX 125
B"01111011" when B"0001111110", -- INDEX 126
B"01111111" when B"0001111111", -- INDEX 127
B"01110000" when B"0010000000", -- INDEX 128
B"01010000" when B"0010000001", -- INDEX 129
B"00100011" when B"0010000010", -- INDEX 130
B"11110000" when B"0010000011", -- INDEX 131
B"11000001" when B"0010000100", -- INDEX 132
B"10011011" when B"0010000101", -- INDEX 133
B"10000100" when B"0010000110", -- INDEX 134
B"10000001" when B"0010000111", -- INDEX 135
B"10010010" when B"0010001000", -- INDEX 136
B"10110100" when B"0010001001", -- INDEX 137
B"11100001" when B"0010001010", -- INDEX 138
B"00010100" when B"0010001011", -- INDEX 139
B"01000011" when B"0010001100", -- INDEX 140
B"01101000" when B"0010001101", -- INDEX 141
B"01111101" when B"0010001110", -- INDEX 142
B"01111110" when B"0010001111", -- INDEX 143
B"01101100" when B"0010010000", -- INDEX 144
B"01001001" when B"0010010001", -- INDEX 145
B"00011011" when B"0010010010", -- INDEX 146
B"11101001" when B"0010010011", -- INDEX 147
B"10111010" when B"0010010100", -- INDEX 148
B"10010110" when B"0010010101", -- INDEX 149
B"10000010" when B"0010010110", -- INDEX 150
B"10000011" when B"0010010111", -- INDEX 151
B"10010110" when B"0010011000", -- INDEX 152
B"10111010" when B"0010011001", -- INDEX 153
B"11101001" when B"0010011010", -- INDEX 154
B"00011011" when B"0010011011", -- INDEX 155
B"01001010" when B"0010011100", -- INDEX 156
B"01101100" when B"0010011101", -- INDEX 157
B"01111110" when B"0010011110", -- INDEX 158
B"01111101" when B"0010011111", -- INDEX 159
B"01101000" when B"0010100000", -- INDEX 160
B"01000010" when B"0010100001", -- INDEX 161
B"00010011" when B"0010100010", -- INDEX 162
B"11100001" when B"0010100011", -- INDEX 163
B"10110011" when B"0010100100", -- INDEX 164
B"10010010" when B"0010100101", -- INDEX 165
B"10000001" when B"0010100110", -- INDEX 166
B"10000100" when B"0010100111", -- INDEX 167
B"10011011" when B"0010101000", -- INDEX 168
B"11000001" when B"0010101001", -- INDEX 169
B"11110001" when B"0010101010", -- INDEX 170
B"00100011" when B"0010101011", -- INDEX 171
B"01010000" when B"0010101100", -- INDEX 172
B"01110000" when B"0010101101", -- INDEX 173
B"01111111" when B"0010101110", -- INDEX 174
B"01111010" when B"0010101111", -- INDEX 175
B"01100011" when B"0010110000", -- INDEX 176
B"00111011" when B"0010110001", -- INDEX 177
B"00001011" when B"0010110010", -- INDEX 178
B"11011001" when B"0010110011", -- INDEX 179
B"10101101" when B"0010110100", -- INDEX 180
B"10001110" when B"0010110101", -- INDEX 181
B"10000000" when B"0010110110", -- INDEX 182
B"10000111" when B"0010110111", -- INDEX 183
B"10100000" when B"0010111000", -- INDEX 184
B"11001000" when B"0010111001", -- INDEX 185
B"11111001" when B"0010111010", -- INDEX 186
B"00101011" when B"0010111011", -- INDEX 187
B"01010110" when B"0010111100", -- INDEX 188
B"01110100" when B"0010111101", -- INDEX 189
B"01111111" when B"0010111110", -- INDEX 190
B"01111000" when B"0010111111", -- INDEX 191
B"01011101" when B"0011000000", -- INDEX 192
B"00110100" when B"0011000001", -- INDEX 193
B"00000011" when B"0011000010", -- INDEX 194
B"11010001" when B"0011000011", -- INDEX 195
B"10100111" when B"0011000100", -- INDEX 196
B"10001010" when B"0011000101", -- INDEX 197
B"10000000" when B"0011000110", -- INDEX 198
B"10001010" when B"0011000111", -- INDEX 199
B"10100101" when B"0011001000", -- INDEX 200
B"11001111" when B"0011001001", -- INDEX 201
B"11111111" when B"0011001010", -- INDEX 202
B"00110000" when B"0011001011", -- INDEX 203
B"01011001" when B"0011001100", -- INDEX 204
B"01110101" when B"0011001101", -- INDEX 205
B"01111111" when B"0011001110", -- INDEX 206
B"01111000" when B"0011001111", -- INDEX 207
B"01011101" when B"0011010000", -- INDEX 208
B"00110101" when B"0011010001", -- INDEX 209
B"00000101" when B"0011010010", -- INDEX 210
B"11010100" when B"0011010011", -- INDEX 211
B"10101010" when B"0011010100", -- INDEX 212
B"10001100" when B"0011010101", -- INDEX 213
B"10000000" when B"0011010110", -- INDEX 214
B"10000111" when B"0011010111", -- INDEX 215
B"10100000" when B"0011011000", -- INDEX 216
B"11000111" when B"0011011001", -- INDEX 217
B"11110111" when B"0011011010", -- INDEX 218
B"00101000" when B"0011011011", -- INDEX 219
B"01010011" when B"0011011100", -- INDEX 220
B"01110010" when B"0011011101", -- INDEX 221
B"01111111" when B"0011011110", -- INDEX 222
B"01111010" when B"0011011111", -- INDEX 223
B"01100011" when B"0011100000", -- INDEX 224
B"00111100" when B"0011100001", -- INDEX 225
B"00001101" when B"0011100010", -- INDEX 226
B"11011100" when B"0011100011", -- INDEX 227
B"10110000" when B"0011100100", -- INDEX 228
B"10010000" when B"0011100101", -- INDEX 229
B"10000001" when B"0011100110", -- INDEX 230
B"10000101" when B"0011100111", -- INDEX 231
B"10011011" when B"0011101000", -- INDEX 232
B"11000000" when B"0011101001", -- INDEX 233
B"11101111" when B"0011101010", -- INDEX 234
B"00100000" when B"0011101011", -- INDEX 235
B"01001101" when B"0011101100", -- INDEX 236
B"01101110" when B"0011101101", -- INDEX 237
B"01111111" when B"0011101110", -- INDEX 238
B"01111100" when B"0011101111", -- INDEX 239
B"01101000" when B"0011110000", -- INDEX 240
B"01000011" when B"0011110001", -- INDEX 241
B"00010101" when B"0011110010", -- INDEX 242
B"11100100" when B"0011110011", -- INDEX 243
B"10110110" when B"0011110100", -- INDEX 244
B"10010100" when B"0011110101", -- INDEX 245
B"10000010" when B"0011110110", -- INDEX 246
B"10000011" when B"0011110111", -- INDEX 247
B"10010110" when B"0011111000", -- INDEX 248
B"10111001" when B"0011111001", -- INDEX 249
B"11100111" when B"0011111010", -- INDEX 250
B"00011000" when B"0011111011", -- INDEX 251
B"01000110" when B"0011111100", -- INDEX 252
B"01101010" when B"0011111101", -- INDEX 253
B"01111101" when B"0011111110", -- INDEX 254
B"01111110" when B"0011111111", -- INDEX 255
B"01101100" when B"0100000000", -- INDEX 256
B"01001010" when B"0100000001", -- INDEX 257
B"00011101" when B"0100000010", -- INDEX 258
B"11101011" when B"0100000011", -- INDEX 259
B"10111101" when B"0100000100", -- INDEX 260
B"10011001" when B"0100000101", -- INDEX 261
B"10000100" when B"0100000110", -- INDEX 262
B"10000001" when B"0100000111", -- INDEX 263
B"10010010" when B"0100001000", -- INDEX 264
B"10110011" when B"0100001001", -- INDEX 265
B"11011111" when B"0100001010", -- INDEX 266
B"00010001" when B"0100001011", -- INDEX 267
B"00111111" when B"0100001100", -- INDEX 268
B"01100101" when B"0100001101", -- INDEX 269
B"01111011" when B"0100001110", -- INDEX 270
B"01111111" when B"0100001111", -- INDEX 271
B"01110000" when B"0100010000", -- INDEX 272
B"01010000" when B"0100010001", -- INDEX 273
B"00100101" when B"0100010010", -- INDEX 274
B"11110011" when B"0100010011", -- INDEX 275
B"11000100" when B"0100010100", -- INDEX 276
B"10011110" when B"0100010101", -- INDEX 277
B"10000110" when B"0100010110", -- INDEX 278
B"10000000" when B"0100010111", -- INDEX 279
B"10001110" when B"0100011000", -- INDEX 280
B"10101100" when B"0100011001", -- INDEX 281
B"11010111" when B"0100011010", -- INDEX 282
B"00001001" when B"0100011011", -- INDEX 283
B"00111000" when B"0100011100", -- INDEX 284
B"01100000" when B"0100011101", -- INDEX 285
B"01111001" when B"0100011110", -- INDEX 286
B"01111111" when B"0100011111", -- INDEX 287
B"01110100" when B"0100100000", -- INDEX 288
B"01010111" when B"0100100001", -- INDEX 289
B"00101100" when B"0100100010", -- INDEX 290
B"11111011" when B"0100100011", -- INDEX 291
B"11001011" when B"0100100100", -- INDEX 292
B"10100011" when B"0100100101", -- INDEX 293
B"10001001" when B"0100100110", -- INDEX 294
B"10000000" when B"0100100111", -- INDEX 295
B"10001011" when B"0100101000", -- INDEX 296
B"10100111" when B"0100101001", -- INDEX 297
B"11010000" when B"0100101010", -- INDEX 298
B"00000001" when B"0100101011", -- INDEX 299
B"00110001" when B"0100101100", -- INDEX 300
B"01011010" when B"0100101101", -- INDEX 301
B"01110110" when B"0100101110", -- INDEX 302
B"01111111" when B"0100101111", -- INDEX 303
B"01110111" when B"0100110000", -- INDEX 304
B"01011100" when B"0100110001", -- INDEX 305
B"00110100" when B"0100110010", -- INDEX 306
B"00000100" when B"0100110011", -- INDEX 307
B"11010011" when B"0100110100", -- INDEX 308
B"10101001" when B"0100110101", -- INDEX 309
B"10001100" when B"0100110110", -- INDEX 310
B"10000000" when B"0100110111", -- INDEX 311
B"10001000" when B"0100111000", -- INDEX 312
B"10100001" when B"0100111001", -- INDEX 313
B"11001001" when B"0100111010", -- INDEX 314
B"11111000" when B"0100111011", -- INDEX 315
B"00101001" when B"0100111100", -- INDEX 316
B"01010100" when B"0100111101", -- INDEX 317
B"01110011" when B"0100111110", -- INDEX 318
B"01111111" when B"0100111111", -- INDEX 319
B"01111010" when B"0101000000", -- INDEX 320
B"01100010" when B"0101000001", -- INDEX 321
B"00111011" when B"0101000010", -- INDEX 322
B"00001100" when B"0101000011", -- INDEX 323
B"11011010" when B"0101000100", -- INDEX 324
B"10101111" when B"0101000101", -- INDEX 325
B"10001111" when B"0101000110", -- INDEX 326
B"10000001" when B"0101000111", -- INDEX 327
B"10000101" when B"0101001000", -- INDEX 328
B"10011100" when B"0101001001", -- INDEX 329
B"11000001" when B"0101001010", -- INDEX 330
B"11110000" when B"0101001011", -- INDEX 331
B"00100010" when B"0101001100", -- INDEX 332
B"01001110" when B"0101001101", -- INDEX 333
B"01101111" when B"0101001110", -- INDEX 334
B"01111111" when B"0101001111", -- INDEX 335
B"01111100" when B"0101010000", -- INDEX 336
B"01100111" when B"0101010001", -- INDEX 337
B"01000010" when B"0101010010", -- INDEX 338
B"00010100" when B"0101010011", -- INDEX 339
B"11100010" when B"0101010100", -- INDEX 340
B"10110101" when B"0101010101", -- INDEX 341
B"10010011" when B"0101010110", -- INDEX 342
B"10000010" when B"0101010111", -- INDEX 343
B"10000011" when B"0101011000", -- INDEX 344
B"10010111" when B"0101011001", -- INDEX 345
B"10111011" when B"0101011010", -- INDEX 346
B"11101001" when B"0101011011", -- INDEX 347
B"00011010" when B"0101011100", -- INDEX 348
B"01001000" when B"0101011101", -- INDEX 349
B"01101010" when B"0101011110", -- INDEX 350
B"01111101" when B"0101011111", -- INDEX 351
B"01111110" when B"0101100000", -- INDEX 352
B"01101011" when B"0101100001", -- INDEX 353
B"01001001" when B"0101100010", -- INDEX 354
B"00011011" when B"0101100011", -- INDEX 355
B"11101010" when B"0101100100", -- INDEX 356
B"10111100" when B"0101100101", -- INDEX 357
B"10011000" when B"0101100110", -- INDEX 358
B"10000011" when B"0101100111", -- INDEX 359
B"10000010" when B"0101101000", -- INDEX 360
B"10010011" when B"0101101001", -- INDEX 361
B"10110100" when B"0101101010", -- INDEX 362
B"11100001" when B"0101101011", -- INDEX 363
B"00010010" when B"0101101100", -- INDEX 364
B"01000001" when B"0101101101", -- INDEX 365
B"01100110" when B"0101101110", -- INDEX 366
B"01111100" when B"0101101111", -- INDEX 367
B"01111111" when B"0101110000", -- INDEX 368
B"01101111" when B"0101110001", -- INDEX 369
B"01001111" when B"0101110010", -- INDEX 370
B"00100011" when B"0101110011", -- INDEX 371
B"11110010" when B"0101110100", -- INDEX 372
B"11000011" when B"0101110101", -- INDEX 373
B"10011101" when B"0101110110", -- INDEX 374
B"10000110" when B"0101110111", -- INDEX 375
B"10000001" when B"0101111000", -- INDEX 376
B"10001111" when B"0101111001", -- INDEX 377
B"10101110" when B"0101111010", -- INDEX 378
B"11011001" when B"0101111011", -- INDEX 379
B"00001010" when B"0101111100", -- INDEX 380
B"00111010" when B"0101111101", -- INDEX 381
B"01100001" when B"0101111110", -- INDEX 382
B"01111001" when B"0101111111", -- INDEX 383
B"01111111" when B"0110000000", -- INDEX 384
B"01110011" when B"0110000001", -- INDEX 385
B"01010101" when B"0110000010", -- INDEX 386
B"00101011" when B"0110000011", -- INDEX 387
B"11111010" when B"0110000100", -- INDEX 388
B"11001010" when B"0110000101", -- INDEX 389
B"10100010" when B"0110000110", -- INDEX 390
B"10001000" when B"0110000111", -- INDEX 391
B"10000000" when B"0110001000", -- INDEX 392
B"10001011" when B"0110001001", -- INDEX 393
B"10101000" when B"0110001010", -- INDEX 394
B"11010001" when B"0110001011", -- INDEX 395
B"00000010" when B"0110001100", -- INDEX 396
B"00110010" when B"0110001101", -- INDEX 397
B"01011011" when B"0110001110", -- INDEX 398
B"01110110" when B"0110001111", -- INDEX 399
B"01111111" when B"0110010000", -- INDEX 400
B"01110110" when B"0110010001", -- INDEX 401
B"01011010" when B"0110010010", -- INDEX 402
B"00110000" when B"0110010011", -- INDEX 403
B"11111110" when B"0110010100", -- INDEX 404
B"11001101" when B"0110010101", -- INDEX 405
B"10100011" when B"0110010110", -- INDEX 406
B"10001000" when B"0110010111", -- INDEX 407
B"10000000" when B"0110011000", -- INDEX 408
B"10001100" when B"0110011001", -- INDEX 409
B"10101001" when B"0110011010", -- INDEX 410
B"11010100" when B"0110011011", -- INDEX 411
B"00000110" when B"0110011100", -- INDEX 412
B"00110111" when B"0110011101", -- INDEX 413
B"01011111" when B"0110011110", -- INDEX 414
B"01111001" when B"0110011111", -- INDEX 415
B"01111111" when B"0110100000", -- INDEX 416
B"01110011" when B"0110100001", -- INDEX 417
B"01010100" when B"0110100010", -- INDEX 418
B"00101000" when B"0110100011", -- INDEX 419
B"11110110" when B"0110100100", -- INDEX 420
B"11000101" when B"0110100101", -- INDEX 421
B"10011110" when B"0110100110", -- INDEX 422
B"10000110" when B"0110100111", -- INDEX 423
B"10000001" when B"0110101000", -- INDEX 424
B"10001111" when B"0110101001", -- INDEX 425
B"10101111" when B"0110101010", -- INDEX 426
B"11011100" when B"0110101011", -- INDEX 427
B"00001110" when B"0110101100", -- INDEX 428
B"00111110" when B"0110101101", -- INDEX 429
B"01100101" when B"0110101110", -- INDEX 430
B"01111011" when B"0110101111", -- INDEX 431
B"01111111" when B"0110110000", -- INDEX 432
B"01101111" when B"0110110001", -- INDEX 433
B"01001110" when B"0110110010", -- INDEX 434
B"00100000" when B"0110110011", -- INDEX 435
B"11101110" when B"0110110100", -- INDEX 436
B"10111110" when B"0110110101", -- INDEX 437
B"10011001" when B"0110110110", -- INDEX 438
B"10000100" when B"0110110111", -- INDEX 439
B"10000010" when B"0110111000", -- INDEX 440
B"10010011" when B"0110111001", -- INDEX 441
B"10110110" when B"0110111010", -- INDEX 442
B"11100100" when B"0110111011", -- INDEX 443
B"00010110" when B"0110111100", -- INDEX 444
B"01000101" when B"0110111101", -- INDEX 445
B"01101001" when B"0110111110", -- INDEX 446
B"01111101" when B"0110111111", -- INDEX 447
B"01111110" when B"0111000000", -- INDEX 448
B"01101011" when B"0111000001", -- INDEX 449
B"01000111" when B"0111000010", -- INDEX 450
B"00011000" when B"0111000011", -- INDEX 451
B"11100110" when B"0111000100", -- INDEX 452
B"10111000" when B"0111000101", -- INDEX 453
B"10010100" when B"0111000110", -- INDEX 454
B"10000010" when B"0111000111", -- INDEX 455
B"10000011" when B"0111001000", -- INDEX 456
B"10011000" when B"0111001001", -- INDEX 457
B"10111100" when B"0111001010", -- INDEX 458
B"11101011" when B"0111001011", -- INDEX 459
B"00011110" when B"0111001100", -- INDEX 460
B"01001100" when B"0111001101", -- INDEX 461
B"01101110" when B"0111001110", -- INDEX 462
B"01111111" when B"0111001111", -- INDEX 463
B"01111100" when B"0111010000", -- INDEX 464
B"01100110" when B"0111010001", -- INDEX 465
B"01000000" when B"0111010010", -- INDEX 466
B"00010001" when B"0111010011", -- INDEX 467
B"11011110" when B"0111010100", -- INDEX 468
B"10110001" when B"0111010101", -- INDEX 469
B"10010000" when B"0111010110", -- INDEX 470
B"10000001" when B"0111010111", -- INDEX 471
B"10000101" when B"0111011000", -- INDEX 472
B"10011100" when B"0111011001", -- INDEX 473
B"11000011" when B"0111011010", -- INDEX 474
B"11110011" when B"0111011011", -- INDEX 475
B"00100110" when B"0111011100", -- INDEX 476
B"01010010" when B"0111011101", -- INDEX 477
B"01110010" when B"0111011110", -- INDEX 478
B"01111111" when B"0111011111", -- INDEX 479
B"01111010" when B"0111100000", -- INDEX 480
B"01100001" when B"0111100001", -- INDEX 481
B"00111001" when B"0111100010", -- INDEX 482
B"00001001" when B"0111100011", -- INDEX 483
B"11010111" when B"0111100100", -- INDEX 484
B"10101011" when B"0111100101", -- INDEX 485
B"10001101" when B"0111100110", -- INDEX 486
B"10000000" when B"0111100111", -- INDEX 487
B"10001000" when B"0111101000", -- INDEX 488
B"10100010" when B"0111101001", -- INDEX 489
B"11001010" when B"0111101010", -- INDEX 490
B"11111011" when B"0111101011", -- INDEX 491
B"00101101" when B"0111101100", -- INDEX 492
B"01011000" when B"0111101101", -- INDEX 493
B"01110101" when B"0111101110", -- INDEX 494
B"01111111" when B"0111101111", -- INDEX 495
B"01110111" when B"0111110000", -- INDEX 496
B"01011100" when B"0111110001", -- INDEX 497
B"00110010" when B"0111110010", -- INDEX 498
B"00000001" when B"0111110011", -- INDEX 499
B"11001111" when B"0111110100", -- INDEX 500
B"10100101" when B"0111110101", -- INDEX 501
B"10001001" when B"0111110110", -- INDEX 502
B"10000000" when B"0111110111", -- INDEX 503
B"10001011" when B"0111111000", -- INDEX 504
B"10100111" when B"0111111001", -- INDEX 505
B"11010010" when B"0111111010", -- INDEX 506
B"00000100" when B"0111111011", -- INDEX 507
B"00110101" when B"0111111100", -- INDEX 508
B"01011110" when B"0111111101", -- INDEX 509
B"01111000" when B"0111111110", -- INDEX 510
B"01111111" when B"0111111111", -- INDEX 511
B"01110100" when B"1000000000", -- INDEX 512
B"01010110" when B"1000000001", -- INDEX 513
B"00101010" when B"1000000010", -- INDEX 514
B"11111000" when B"1000000011", -- INDEX 515
B"11001000" when B"1000000100", -- INDEX 516
B"10100000" when B"1000000101", -- INDEX 517
B"10000111" when B"1000000110", -- INDEX 518
B"10000000" when B"1000000111", -- INDEX 519
B"10001110" when B"1000001000", -- INDEX 520
B"10101101" when B"1000001001", -- INDEX 521
B"11011001" when B"1000001010", -- INDEX 522
B"00001100" when B"1000001011", -- INDEX 523
B"00111100" when B"1000001100", -- INDEX 524
B"01100011" when B"1000001101", -- INDEX 525
B"01111011" when B"1000001110", -- INDEX 526
B"01111111" when B"1000001111", -- INDEX 527
B"01110000" when B"1000010000", -- INDEX 528
B"01010000" when B"1000010001", -- INDEX 529
B"00100011" when B"1000010010", -- INDEX 530
B"11110000" when B"1000010011", -- INDEX 531
B"11000001" when B"1000010100", -- INDEX 532
B"10011011" when B"1000010101", -- INDEX 533
B"10000100" when B"1000010110", -- INDEX 534
B"10000001" when B"1000010111", -- INDEX 535
B"10010010" when B"1000011000", -- INDEX 536
B"10110100" when B"1000011001", -- INDEX 537
B"11100001" when B"1000011010", -- INDEX 538
B"00010100" when B"1000011011", -- INDEX 539
B"01000011" when B"1000011100", -- INDEX 540
B"01101000" when B"1000011101", -- INDEX 541
B"01111101" when B"1000011110", -- INDEX 542
B"01111110" when B"1000011111", -- INDEX 543
B"01101100" when B"1000100000", -- INDEX 544
B"01001001" when B"1000100001", -- INDEX 545
B"00011011" when B"1000100010", -- INDEX 546
B"11101001" when B"1000100011", -- INDEX 547
B"10111010" when B"1000100100", -- INDEX 548
B"10010110" when B"1000100101", -- INDEX 549
B"10000010" when B"1000100110", -- INDEX 550
B"10000011" when B"1000100111", -- INDEX 551
B"10010110" when B"1000101000", -- INDEX 552
B"10111010" when B"1000101001", -- INDEX 553
B"11101001" when B"1000101010", -- INDEX 554
B"00011011" when B"1000101011", -- INDEX 555
B"01001010" when B"1000101100", -- INDEX 556
B"01101100" when B"1000101101", -- INDEX 557
B"01111110" when B"1000101110", -- INDEX 558
B"01111101" when B"1000101111", -- INDEX 559
B"01101000" when B"1000110000", -- INDEX 560
B"01000010" when B"1000110001", -- INDEX 561
B"00010011" when B"1000110010", -- INDEX 562
B"11100001" when B"1000110011", -- INDEX 563
B"10110011" when B"1000110100", -- INDEX 564
B"10010010" when B"1000110101", -- INDEX 565
B"10000001" when B"1000110110", -- INDEX 566
B"10000100" when B"1000110111", -- INDEX 567
B"10011011" when B"1000111000", -- INDEX 568
B"11000001" when B"1000111001", -- INDEX 569
B"11110001" when B"1000111010", -- INDEX 570
B"00100011" when B"1000111011", -- INDEX 571
B"01010000" when B"1000111100", -- INDEX 572
B"01110000" when B"1000111101", -- INDEX 573
B"01111111" when B"1000111110", -- INDEX 574
B"01111010" when B"1000111111", -- INDEX 575
B"01100011" when B"1001000000", -- INDEX 576
B"00111011" when B"1001000001", -- INDEX 577
B"00001011" when B"1001000010", -- INDEX 578
B"11011001" when B"1001000011", -- INDEX 579
B"10101101" when B"1001000100", -- INDEX 580
B"10001110" when B"1001000101", -- INDEX 581
B"10000000" when B"1001000110", -- INDEX 582
B"10000111" when B"1001000111", -- INDEX 583
B"10100000" when B"1001001000", -- INDEX 584
B"11001000" when B"1001001001", -- INDEX 585
B"11111001" when B"1001001010", -- INDEX 586
B"00101011" when B"1001001011", -- INDEX 587
B"01010110" when B"1001001100", -- INDEX 588
B"01110100" when B"1001001101", -- INDEX 589
B"01111111" when B"1001001110", -- INDEX 590
B"01111000" when B"1001001111", -- INDEX 591
B"01011101" when B"1001010000", -- INDEX 592
B"00110100" when B"1001010001", -- INDEX 593
B"00000011" when B"1001010010", -- INDEX 594
B"11010001" when B"1001010011", -- INDEX 595
B"10100111" when B"1001010100", -- INDEX 596
B"10001010" when B"1001010101", -- INDEX 597
B"10000000" when B"1001010110", -- INDEX 598
B"10001010" when B"1001010111", -- INDEX 599
B"10100101" when B"1001011000", -- INDEX 600
B"11001111" when B"1001011001", -- INDEX 601
B"11111111" when B"1001011010", -- INDEX 602
B"00110000" when B"1001011011", -- INDEX 603
B"01011001" when B"1001011100", -- INDEX 604
B"01110101" when B"1001011101", -- INDEX 605
B"01111111" when B"1001011110", -- INDEX 606
B"01111000" when B"1001011111", -- INDEX 607
B"01011101" when B"1001100000", -- INDEX 608
B"00110101" when B"1001100001", -- INDEX 609
B"00000101" when B"1001100010", -- INDEX 610
B"11010100" when B"1001100011", -- INDEX 611
B"10101010" when B"1001100100", -- INDEX 612
B"10001100" when B"1001100101", -- INDEX 613
B"10000000" when B"1001100110", -- INDEX 614
B"10000111" when B"1001100111", -- INDEX 615
B"10100000" when B"1001101000", -- INDEX 616
B"11000111" when B"1001101001", -- INDEX 617
B"11110111" when B"1001101010", -- INDEX 618
B"00101000" when B"1001101011", -- INDEX 619
B"01010011" when B"1001101100", -- INDEX 620
B"01110010" when B"1001101101", -- INDEX 621
B"01111111" when B"1001101110", -- INDEX 622
B"01111010" when B"1001101111", -- INDEX 623
B"01100011" when B"1001110000", -- INDEX 624
B"00111100" when B"1001110001", -- INDEX 625
B"00001101" when B"1001110010", -- INDEX 626
B"11011100" when B"1001110011", -- INDEX 627
B"10110000" when B"1001110100", -- INDEX 628
B"10010000" when B"1001110101", -- INDEX 629
B"10000001" when B"1001110110", -- INDEX 630
B"10000101" when B"1001110111", -- INDEX 631
B"10011011" when B"1001111000", -- INDEX 632
B"11000000" when B"1001111001", -- INDEX 633
B"11101111" when B"1001111010", -- INDEX 634
B"00100000" when B"1001111011", -- INDEX 635
B"01001101" when B"1001111100", -- INDEX 636
B"01101110" when B"1001111101", -- INDEX 637
B"01111111" when B"1001111110", -- INDEX 638
B"01111100" when B"1001111111", -- INDEX 639
B"01101000" when B"1010000000", -- INDEX 640
B"01000011" when B"1010000001", -- INDEX 641
B"00010101" when B"1010000010", -- INDEX 642
B"11100100" when B"1010000011", -- INDEX 643
B"10110110" when B"1010000100", -- INDEX 644
B"10010100" when B"1010000101", -- INDEX 645
B"10000010" when B"1010000110", -- INDEX 646
B"10000011" when B"1010000111", -- INDEX 647
B"10010110" when B"1010001000", -- INDEX 648
B"10111001" when B"1010001001", -- INDEX 649
B"11100111" when B"1010001010", -- INDEX 650
B"00011000" when B"1010001011", -- INDEX 651
B"01000110" when B"1010001100", -- INDEX 652
B"01101010" when B"1010001101", -- INDEX 653
B"01111101" when B"1010001110", -- INDEX 654
B"01111110" when B"1010001111", -- INDEX 655
B"01101100" when B"1010010000", -- INDEX 656
B"01001010" when B"1010010001", -- INDEX 657
B"00011101" when B"1010010010", -- INDEX 658
B"11101011" when B"1010010011", -- INDEX 659
B"10111101" when B"1010010100", -- INDEX 660
B"10011001" when B"1010010101", -- INDEX 661
B"10000100" when B"1010010110", -- INDEX 662
B"10000001" when B"1010010111", -- INDEX 663
B"10010010" when B"1010011000", -- INDEX 664
B"10110011" when B"1010011001", -- INDEX 665
B"11011111" when B"1010011010", -- INDEX 666
B"00010001" when B"1010011011", -- INDEX 667
B"00111111" when B"1010011100", -- INDEX 668
B"01100101" when B"1010011101", -- INDEX 669
B"01111011" when B"1010011110", -- INDEX 670
B"01111111" when B"1010011111", -- INDEX 671
B"01110000" when B"1010100000", -- INDEX 672
B"01010000" when B"1010100001", -- INDEX 673
B"00100101" when B"1010100010", -- INDEX 674
B"11110011" when B"1010100011", -- INDEX 675
B"11000100" when B"1010100100", -- INDEX 676
B"10011110" when B"1010100101", -- INDEX 677
B"10000110" when B"1010100110", -- INDEX 678
B"10000000" when B"1010100111", -- INDEX 679
B"10001110" when B"1010101000", -- INDEX 680
B"10101100" when B"1010101001", -- INDEX 681
B"11010111" when B"1010101010", -- INDEX 682
B"00001001" when B"1010101011", -- INDEX 683
B"00111000" when B"1010101100", -- INDEX 684
B"01100000" when B"1010101101", -- INDEX 685
B"01111001" when B"1010101110", -- INDEX 686
B"01111111" when B"1010101111", -- INDEX 687
B"01110100" when B"1010110000", -- INDEX 688
B"01010111" when B"1010110001", -- INDEX 689
B"00101100" when B"1010110010", -- INDEX 690
B"11111011" when B"1010110011", -- INDEX 691
B"11001011" when B"1010110100", -- INDEX 692
B"10100011" when B"1010110101", -- INDEX 693
B"10001001" when B"1010110110", -- INDEX 694
B"10000000" when B"1010110111", -- INDEX 695
B"10001011" when B"1010111000", -- INDEX 696
B"10100111" when B"1010111001", -- INDEX 697
B"11010000" when B"1010111010", -- INDEX 698
B"00000001" when B"1010111011", -- INDEX 699
B"00110001" when B"1010111100", -- INDEX 700
B"01011010" when B"1010111101", -- INDEX 701
B"01110110" when B"1010111110", -- INDEX 702
B"01111111" when B"1010111111", -- INDEX 703
B"01110111" when B"1011000000", -- INDEX 704
B"01011100" when B"1011000001", -- INDEX 705
B"00110100" when B"1011000010", -- INDEX 706
B"00000100" when B"1011000011", -- INDEX 707
B"11010011" when B"1011000100", -- INDEX 708
B"10101001" when B"1011000101", -- INDEX 709
B"10001100" when B"1011000110", -- INDEX 710
B"10000000" when B"1011000111", -- INDEX 711
B"10001000" when B"1011001000", -- INDEX 712
B"10100001" when B"1011001001", -- INDEX 713
B"11001001" when B"1011001010", -- INDEX 714
B"11111000" when B"1011001011", -- INDEX 715
B"00101001" when B"1011001100", -- INDEX 716
B"01010100" when B"1011001101", -- INDEX 717
B"01110011" when B"1011001110", -- INDEX 718
B"01111111" when B"1011001111", -- INDEX 719
B"01111010" when B"1011010000", -- INDEX 720
B"01100010" when B"1011010001", -- INDEX 721
B"00111011" when B"1011010010", -- INDEX 722
B"00001100" when B"1011010011", -- INDEX 723
B"11011010" when B"1011010100", -- INDEX 724
B"10101111" when B"1011010101", -- INDEX 725
B"10001111" when B"1011010110", -- INDEX 726
B"10000001" when B"1011010111", -- INDEX 727
B"10000101" when B"1011011000", -- INDEX 728
B"10011100" when B"1011011001", -- INDEX 729
B"11000001" when B"1011011010", -- INDEX 730
B"11110000" when B"1011011011", -- INDEX 731
B"00100010" when B"1011011100", -- INDEX 732
B"01001110" when B"1011011101", -- INDEX 733
B"01101111" when B"1011011110", -- INDEX 734
B"01111111" when B"1011011111", -- INDEX 735
B"01111100" when B"1011100000", -- INDEX 736
B"01100111" when B"1011100001", -- INDEX 737
B"01000010" when B"1011100010", -- INDEX 738
B"00010100" when B"1011100011", -- INDEX 739
B"11100010" when B"1011100100", -- INDEX 740
B"10110101" when B"1011100101", -- INDEX 741
B"10010011" when B"1011100110", -- INDEX 742
B"10000010" when B"1011100111", -- INDEX 743
B"10000011" when B"1011101000", -- INDEX 744
B"10010111" when B"1011101001", -- INDEX 745
B"10111011" when B"1011101010", -- INDEX 746
B"11101001" when B"1011101011", -- INDEX 747
B"00011010" when B"1011101100", -- INDEX 748
B"01001000" when B"1011101101", -- INDEX 749
B"01101010" when B"1011101110", -- INDEX 750
B"01111101" when B"1011101111", -- INDEX 751
B"01111110" when B"1011110000", -- INDEX 752
B"01101011" when B"1011110001", -- INDEX 753
B"01001001" when B"1011110010", -- INDEX 754
B"00011011" when B"1011110011", -- INDEX 755
B"11101010" when B"1011110100", -- INDEX 756
B"10111100" when B"1011110101", -- INDEX 757
B"10011000" when B"1011110110", -- INDEX 758
B"10000011" when B"1011110111", -- INDEX 759
B"10000010" when B"1011111000", -- INDEX 760
B"10010011" when B"1011111001", -- INDEX 761
B"10110100" when B"1011111010", -- INDEX 762
B"11100001" when B"1011111011", -- INDEX 763
B"00010010" when B"1011111100", -- INDEX 764
B"01000001" when B"1011111101", -- INDEX 765
B"01100110" when B"1011111110", -- INDEX 766
B"01111100" when B"1011111111", -- INDEX 767
B"01111111" when B"1100000000", -- INDEX 768
B"01101111" when B"1100000001", -- INDEX 769
B"01001111" when B"1100000010", -- INDEX 770
B"00100011" when B"1100000011", -- INDEX 771
B"11110010" when B"1100000100", -- INDEX 772
B"11000011" when B"1100000101", -- INDEX 773
B"10011101" when B"1100000110", -- INDEX 774
B"10000110" when B"1100000111", -- INDEX 775
B"10000001" when B"1100001000", -- INDEX 776
B"10001111" when B"1100001001", -- INDEX 777
B"10101110" when B"1100001010", -- INDEX 778
B"11011001" when B"1100001011", -- INDEX 779
B"00001010" when B"1100001100", -- INDEX 780
B"00111010" when B"1100001101", -- INDEX 781
B"01100001" when B"1100001110", -- INDEX 782
B"01111001" when B"1100001111", -- INDEX 783
B"01111111" when B"1100010000", -- INDEX 784
B"01110011" when B"1100010001", -- INDEX 785
B"01010101" when B"1100010010", -- INDEX 786
B"00101011" when B"1100010011", -- INDEX 787
B"11111010" when B"1100010100", -- INDEX 788
B"11001010" when B"1100010101", -- INDEX 789
B"10100010" when B"1100010110", -- INDEX 790
B"10001000" when B"1100010111", -- INDEX 791
B"10000000" when B"1100011000", -- INDEX 792
B"10001011" when B"1100011001", -- INDEX 793
B"10101000" when B"1100011010", -- INDEX 794
B"11010001" when B"1100011011", -- INDEX 795
B"00000010" when B"1100011100", -- INDEX 796
B"00110010" when B"1100011101", -- INDEX 797
B"01011011" when B"1100011110", -- INDEX 798
B"01110110" when B"1100011111", -- INDEX 799
B"01111111" when B"1100100000", -- INDEX 800
B"01110110" when B"1100100001", -- INDEX 801
B"01011010" when B"1100100010", -- INDEX 802
B"00110000" when B"1100100011", -- INDEX 803
B"11111110" when B"1100100100", -- INDEX 804
B"11001101" when B"1100100101", -- INDEX 805
B"10100011" when B"1100100110", -- INDEX 806
B"10001000" when B"1100100111", -- INDEX 807
B"10000000" when B"1100101000", -- INDEX 808
B"10001100" when B"1100101001", -- INDEX 809
B"10101001" when B"1100101010", -- INDEX 810
B"11010100" when B"1100101011", -- INDEX 811
B"00000110" when B"1100101100", -- INDEX 812
B"00110111" when B"1100101101", -- INDEX 813
B"01011111" when B"1100101110", -- INDEX 814
B"01111001" when B"1100101111", -- INDEX 815
B"01111111" when B"1100110000", -- INDEX 816
B"01110011" when B"1100110001", -- INDEX 817
B"01010100" when B"1100110010", -- INDEX 818
B"00101000" when B"1100110011", -- INDEX 819
B"11110110" when B"1100110100", -- INDEX 820
B"11000101" when B"1100110101", -- INDEX 821
B"10011110" when B"1100110110", -- INDEX 822
B"10000110" when B"1100110111", -- INDEX 823
B"10000001" when B"1100111000", -- INDEX 824
B"10001111" when B"1100111001", -- INDEX 825
B"10101111" when B"1100111010", -- INDEX 826
B"11011100" when B"1100111011", -- INDEX 827
B"00001110" when B"1100111100", -- INDEX 828
B"00111110" when B"1100111101", -- INDEX 829
B"01100101" when B"1100111110", -- INDEX 830
B"01111011" when B"1100111111", -- INDEX 831
B"01111111" when B"1101000000", -- INDEX 832
B"01101111" when B"1101000001", -- INDEX 833
B"01001110" when B"1101000010", -- INDEX 834
B"00100000" when B"1101000011", -- INDEX 835
B"11101110" when B"1101000100", -- INDEX 836
B"10111110" when B"1101000101", -- INDEX 837
B"10011001" when B"1101000110", -- INDEX 838
B"10000100" when B"1101000111", -- INDEX 839
B"10000010" when B"1101001000", -- INDEX 840
B"10010011" when B"1101001001", -- INDEX 841
B"10110110" when B"1101001010", -- INDEX 842
B"11100100" when B"1101001011", -- INDEX 843
B"00010110" when B"1101001100", -- INDEX 844
B"01000101" when B"1101001101", -- INDEX 845
B"01101001" when B"1101001110", -- INDEX 846
B"01111101" when B"1101001111", -- INDEX 847
B"01111110" when B"1101010000", -- INDEX 848
B"01101011" when B"1101010001", -- INDEX 849
B"01000111" when B"1101010010", -- INDEX 850
B"00011000" when B"1101010011", -- INDEX 851
B"11100110" when B"1101010100", -- INDEX 852
B"10111000" when B"1101010101", -- INDEX 853
B"10010100" when B"1101010110", -- INDEX 854
B"10000010" when B"1101010111", -- INDEX 855
B"10000011" when B"1101011000", -- INDEX 856
B"10011000" when B"1101011001", -- INDEX 857
B"10111100" when B"1101011010", -- INDEX 858
B"11101011" when B"1101011011", -- INDEX 859
B"00011110" when B"1101011100", -- INDEX 860
B"01001100" when B"1101011101", -- INDEX 861
B"01101110" when B"1101011110", -- INDEX 862
B"01111111" when B"1101011111", -- INDEX 863
B"01111100" when B"1101100000", -- INDEX 864
B"01100110" when B"1101100001", -- INDEX 865
B"01000000" when B"1101100010", -- INDEX 866
B"00010001" when B"1101100011", -- INDEX 867
B"11011110" when B"1101100100", -- INDEX 868
B"10110001" when B"1101100101", -- INDEX 869
B"10010000" when B"1101100110", -- INDEX 870
B"10000001" when B"1101100111", -- INDEX 871
B"10000101" when B"1101101000", -- INDEX 872
B"10011100" when B"1101101001", -- INDEX 873
B"11000011" when B"1101101010", -- INDEX 874
B"11110011" when B"1101101011", -- INDEX 875
B"00100110" when B"1101101100", -- INDEX 876
B"01010010" when B"1101101101", -- INDEX 877
B"01110010" when B"1101101110", -- INDEX 878
B"01111111" when B"1101101111", -- INDEX 879
B"01111010" when B"1101110000", -- INDEX 880
B"01100001" when B"1101110001", -- INDEX 881
B"00111001" when B"1101110010", -- INDEX 882
B"00001001" when B"1101110011", -- INDEX 883
B"11010111" when B"1101110100", -- INDEX 884
B"10101011" when B"1101110101", -- INDEX 885
B"10001101" when B"1101110110", -- INDEX 886
B"10000000" when B"1101110111", -- INDEX 887
B"10001000" when B"1101111000", -- INDEX 888
B"10100010" when B"1101111001", -- INDEX 889
B"11001010" when B"1101111010", -- INDEX 890
B"11111011" when B"1101111011", -- INDEX 891
B"00101101" when B"1101111100", -- INDEX 892
B"01011000" when B"1101111101", -- INDEX 893
B"01110101" when B"1101111110", -- INDEX 894
B"01111111" when B"1101111111", -- INDEX 895
B"01110111" when B"1110000000", -- INDEX 896
B"01011100" when B"1110000001", -- INDEX 897
B"00110010" when B"1110000010", -- INDEX 898
B"00000001" when B"1110000011", -- INDEX 899
B"11001111" when B"1110000100", -- INDEX 900
B"10100101" when B"1110000101", -- INDEX 901
B"10001001" when B"1110000110", -- INDEX 902
B"10000000" when B"1110000111", -- INDEX 903
B"10001011" when B"1110001000", -- INDEX 904
B"10100111" when B"1110001001", -- INDEX 905
B"11010010" when B"1110001010", -- INDEX 906
B"00000100" when B"1110001011", -- INDEX 907
B"00110101" when B"1110001100", -- INDEX 908
B"01011110" when B"1110001101", -- INDEX 909
B"01111000" when B"1110001110", -- INDEX 910
B"01111111" when B"1110001111", -- INDEX 911
B"01110100" when B"1110010000", -- INDEX 912
B"01010110" when B"1110010001", -- INDEX 913
B"00101010" when B"1110010010", -- INDEX 914
B"11111000" when B"1110010011", -- INDEX 915
B"11001000" when B"1110010100", -- INDEX 916
B"10100000" when B"1110010101", -- INDEX 917
B"10000111" when B"1110010110", -- INDEX 918
B"10000000" when B"1110010111", -- INDEX 919
B"10001110" when B"1110011000", -- INDEX 920
B"10101101" when B"1110011001", -- INDEX 921
B"11011001" when B"1110011010", -- INDEX 922
B"00001100" when B"1110011011", -- INDEX 923
B"00111100" when B"1110011100", -- INDEX 924
B"01100011" when B"1110011101", -- INDEX 925
B"01111011" when B"1110011110", -- INDEX 926
B"01111111" when B"1110011111", -- INDEX 927
B"01110000" when B"1110100000", -- INDEX 928
B"01010000" when B"1110100001", -- INDEX 929
B"00100011" when B"1110100010", -- INDEX 930
B"11110000" when B"1110100011", -- INDEX 931
B"11000001" when B"1110100100", -- INDEX 932
B"10011011" when B"1110100101", -- INDEX 933
B"10000100" when B"1110100110", -- INDEX 934
B"10000001" when B"1110100111", -- INDEX 935
B"10010010" when B"1110101000", -- INDEX 936
B"10110100" when B"1110101001", -- INDEX 937
B"11100001" when B"1110101010", -- INDEX 938
B"00010100" when B"1110101011", -- INDEX 939
B"01000011" when B"1110101100", -- INDEX 940
B"01101000" when B"1110101101", -- INDEX 941
B"01111101" when B"1110101110", -- INDEX 942
B"01111110" when B"1110101111", -- INDEX 943
B"01101100" when B"1110110000", -- INDEX 944
B"01001001" when B"1110110001", -- INDEX 945
B"00011011" when B"1110110010", -- INDEX 946
B"11101001" when B"1110110011", -- INDEX 947
B"10111010" when B"1110110100", -- INDEX 948
B"10010110" when B"1110110101", -- INDEX 949
B"10000010" when B"1110110110", -- INDEX 950
B"10000011" when B"1110110111", -- INDEX 951
B"10010110" when B"1110111000", -- INDEX 952
B"10111010" when B"1110111001", -- INDEX 953
B"11101001" when B"1110111010", -- INDEX 954
B"00011011" when B"1110111011", -- INDEX 955
B"01001010" when B"1110111100", -- INDEX 956
B"01101100" when B"1110111101", -- INDEX 957
B"01111110" when B"1110111110", -- INDEX 958
B"01111101" when B"1110111111", -- INDEX 959
B"01101000" when B"1111000000", -- INDEX 960
B"01000010" when B"1111000001", -- INDEX 961
B"00010011" when B"1111000010", -- INDEX 962
B"11100001" when B"1111000011", -- INDEX 963
B"10110011" when B"1111000100", -- INDEX 964
B"10010010" when B"1111000101", -- INDEX 965
B"10000001" when B"1111000110", -- INDEX 966
B"10000100" when B"1111000111", -- INDEX 967
B"10011011" when B"1111001000", -- INDEX 968
B"11000001" when B"1111001001", -- INDEX 969
B"11110001" when B"1111001010", -- INDEX 970
B"00100011" when B"1111001011", -- INDEX 971
B"01010000" when B"1111001100", -- INDEX 972
B"01110000" when B"1111001101", -- INDEX 973
B"01111111" when B"1111001110", -- INDEX 974
B"01111010" when B"1111001111", -- INDEX 975
B"01100011" when B"1111010000", -- INDEX 976
B"00111011" when B"1111010001", -- INDEX 977
B"00001011" when B"1111010010", -- INDEX 978
B"11011001" when B"1111010011", -- INDEX 979
B"10101101" when B"1111010100", -- INDEX 980
B"10001110" when B"1111010101", -- INDEX 981
B"10000000" when B"1111010110", -- INDEX 982
B"10000111" when B"1111010111", -- INDEX 983
B"10100000" when B"1111011000", -- INDEX 984
B"11001000" when B"1111011001", -- INDEX 985
B"11111001" when B"1111011010", -- INDEX 986
B"00101011" when B"1111011011", -- INDEX 987
B"01010110" when B"1111011100", -- INDEX 988
B"01110100" when B"1111011101", -- INDEX 989
B"01111111" when B"1111011110", -- INDEX 990
B"01111000" when B"1111011111", -- INDEX 991
B"01011101" when B"1111100000", -- INDEX 992
B"00110100" when B"1111100001", -- INDEX 993
B"00000011" when B"1111100010", -- INDEX 994
B"11010001" when B"1111100011", -- INDEX 995
B"10100111" when B"1111100100", -- INDEX 996
B"10001010" when B"1111100101", -- INDEX 997
B"10000000" when B"1111100110", -- INDEX 998
B"10001010" when B"1111100111", -- INDEX 999
 
-- END INPUT FM SIGNAL
B"00000000" when others;
with counter (09 downto 0) select
test_signal_fmTri_int <=
-- START INPUT FM-TRI SIGNAL
 
B"01111111" when B"0000000000", -- INDEX 0
B"01110110" when B"0000000001", -- INDEX 1
B"01011011" when B"0000000010", -- INDEX 2
B"00110010" when B"0000000011", -- INDEX 3
B"00000010" when B"0000000100", -- INDEX 4
B"11010001" when B"0000000101", -- INDEX 5
B"10101000" when B"0000000110", -- INDEX 6
B"10001011" when B"0000000111", -- INDEX 7
B"10000000" when B"0000001000", -- INDEX 8
B"10001000" when B"0000001001", -- INDEX 9
B"10100010" when B"0000001010", -- INDEX 10
B"11001010" when B"0000001011", -- INDEX 11
B"11111010" when B"0000001100", -- INDEX 12
B"00101011" when B"0000001101", -- INDEX 13
B"01010110" when B"0000001110", -- INDEX 14
B"01110011" when B"0000001111", -- INDEX 15
B"01111111" when B"0000010000", -- INDEX 16
B"01111001" when B"0000010001", -- INDEX 17
B"01100000" when B"0000010010", -- INDEX 18
B"00111001" when B"0000010011", -- INDEX 19
B"00001001" when B"0000010100", -- INDEX 20
B"11011000" when B"0000010101", -- INDEX 21
B"10101101" when B"0000010110", -- INDEX 22
B"10001110" when B"0000010111", -- INDEX 23
B"10000000" when B"0000011000", -- INDEX 24
B"10000110" when B"0000011001", -- INDEX 25
B"10011110" when B"0000011010", -- INDEX 26
B"11000100" when B"0000011011", -- INDEX 27
B"11110100" when B"0000011100", -- INDEX 28
B"00100101" when B"0000011101", -- INDEX 29
B"01010001" when B"0000011110", -- INDEX 30
B"01110001" when B"0000011111", -- INDEX 31
B"01111111" when B"0000100000", -- INDEX 32
B"01111011" when B"0000100001", -- INDEX 33
B"01100100" when B"0000100010", -- INDEX 34
B"00111110" when B"0000100011", -- INDEX 35
B"00001111" when B"0000100100", -- INDEX 36
B"11011101" when B"0000100101", -- INDEX 37
B"10110001" when B"0000100110", -- INDEX 38
B"10010001" when B"0000100111", -- INDEX 39
B"10000001" when B"0000101000", -- INDEX 40
B"10000100" when B"0000101001", -- INDEX 41
B"10011010" when B"0000101010", -- INDEX 42
B"11000000" when B"0000101011", -- INDEX 43
B"11101111" when B"0000101100", -- INDEX 44
B"00100000" when B"0000101101", -- INDEX 45
B"01001101" when B"0000101110", -- INDEX 46
B"01101110" when B"0000101111", -- INDEX 47
B"01111111" when B"0000110000", -- INDEX 48
B"01111100" when B"0000110001", -- INDEX 49
B"01100111" when B"0000110010", -- INDEX 50
B"01000010" when B"0000110011", -- INDEX 51
B"00010011" when B"0000110100", -- INDEX 52
B"11100010" when B"0000110101", -- INDEX 53
B"10110101" when B"0000110110", -- INDEX 54
B"10010011" when B"0000110111", -- INDEX 55
B"10000010" when B"0000111000", -- INDEX 56
B"10000011" when B"0000111001", -- INDEX 57
B"10011000" when B"0000111010", -- INDEX 58
B"10111100" when B"0000111011", -- INDEX 59
B"11101011" when B"0000111100", -- INDEX 60
B"00011101" when B"0000111101", -- INDEX 61
B"01001010" when B"0000111110", -- INDEX 62
B"01101100" when B"0000111111", -- INDEX 63
B"01111110" when B"0001000000", -- INDEX 64
B"01111101" when B"0001000001", -- INDEX 65
B"01101001" when B"0001000010", -- INDEX 66
B"01000101" when B"0001000011", -- INDEX 67
B"00010111" when B"0001000100", -- INDEX 68
B"11100101" when B"0001000101", -- INDEX 69
B"10110111" when B"0001000110", -- INDEX 70
B"10010101" when B"0001000111", -- INDEX 71
B"10000010" when B"0001001000", -- INDEX 72
B"10000011" when B"0001001001", -- INDEX 73
B"10010110" when B"0001001010", -- INDEX 74
B"10111010" when B"0001001011", -- INDEX 75
B"11101000" when B"0001001100", -- INDEX 76
B"00011010" when B"0001001101", -- INDEX 77
B"01001000" when B"0001001110", -- INDEX 78
B"01101011" when B"0001001111", -- INDEX 79
B"01111110" when B"0001010000", -- INDEX 80
B"01111101" when B"0001010001", -- INDEX 81
B"01101010" when B"0001010010", -- INDEX 82
B"01000111" when B"0001010011", -- INDEX 83
B"00011001" when B"0001010100", -- INDEX 84
B"11100111" when B"0001010101", -- INDEX 85
B"10111001" when B"0001010110", -- INDEX 86
B"10010101" when B"0001010111", -- INDEX 87
B"10000010" when B"0001011000", -- INDEX 88
B"10000010" when B"0001011001", -- INDEX 89
B"10010110" when B"0001011010", -- INDEX 90
B"10111001" when B"0001011011", -- INDEX 91
B"11100111" when B"0001011100", -- INDEX 92
B"00011001" when B"0001011101", -- INDEX 93
B"01000111" when B"0001011110", -- INDEX 94
B"01101010" when B"0001011111", -- INDEX 95
B"01111110" when B"0001100000", -- INDEX 96
B"01111110" when B"0001100001", -- INDEX 97
B"01101011" when B"0001100010", -- INDEX 98
B"01000111" when B"0001100011", -- INDEX 99
B"00011001" when B"0001100100", -- INDEX 100
B"11100111" when B"0001100101", -- INDEX 101
B"10111001" when B"0001100110", -- INDEX 102
B"10010110" when B"0001100111", -- INDEX 103
B"10000011" when B"0001101000", -- INDEX 104
B"10000010" when B"0001101001", -- INDEX 105
B"10010101" when B"0001101010", -- INDEX 106
B"10111001" when B"0001101011", -- INDEX 107
B"11100111" when B"0001101100", -- INDEX 108
B"00011001" when B"0001101101", -- INDEX 109
B"01000111" when B"0001101110", -- INDEX 110
B"01101010" when B"0001101111", -- INDEX 111
B"01111110" when B"0001110000", -- INDEX 112
B"01111110" when B"0001110001", -- INDEX 113
B"01101010" when B"0001110010", -- INDEX 114
B"01000111" when B"0001110011", -- INDEX 115
B"00011001" when B"0001110100", -- INDEX 116
B"11100111" when B"0001110101", -- INDEX 117
B"10111000" when B"0001110110", -- INDEX 118
B"10010101" when B"0001110111", -- INDEX 119
B"10000010" when B"0001111000", -- INDEX 120
B"10000011" when B"0001111001", -- INDEX 121
B"10010110" when B"0001111010", -- INDEX 122
B"10111010" when B"0001111011", -- INDEX 123
B"11101000" when B"0001111100", -- INDEX 124
B"00011010" when B"0001111101", -- INDEX 125
B"01001000" when B"0001111110", -- INDEX 126
B"01101011" when B"0001111111", -- INDEX 127
B"01111110" when B"0010000000", -- INDEX 128
B"01111101" when B"0010000001", -- INDEX 129
B"01101001" when B"0010000010", -- INDEX 130
B"01000101" when B"0010000011", -- INDEX 131
B"00010111" when B"0010000100", -- INDEX 132
B"11100101" when B"0010000101", -- INDEX 133
B"10110111" when B"0010000110", -- INDEX 134
B"10010100" when B"0010000111", -- INDEX 135
B"10000010" when B"0010001000", -- INDEX 136
B"10000011" when B"0010001001", -- INDEX 137
B"10010111" when B"0010001010", -- INDEX 138
B"10111100" when B"0010001011", -- INDEX 139
B"11101011" when B"0010001100", -- INDEX 140
B"00011101" when B"0010001101", -- INDEX 141
B"01001010" when B"0010001110", -- INDEX 142
B"01101101" when B"0010001111", -- INDEX 143
B"01111110" when B"0010010000", -- INDEX 144
B"01111101" when B"0010010001", -- INDEX 145
B"01101000" when B"0010010010", -- INDEX 146
B"01000011" when B"0010010011", -- INDEX 147
B"00010100" when B"0010010100", -- INDEX 148
B"11100010" when B"0010010101", -- INDEX 149
B"10110100" when B"0010010110", -- INDEX 150
B"10010010" when B"0010010111", -- INDEX 151
B"10000001" when B"0010011000", -- INDEX 152
B"10000100" when B"0010011001", -- INDEX 153
B"10011010" when B"0010011010", -- INDEX 154
B"10111111" when B"0010011011", -- INDEX 155
B"11101110" when B"0010011100", -- INDEX 156
B"00100001" when B"0010011101", -- INDEX 157
B"01001110" when B"0010011110", -- INDEX 158
B"01101111" when B"0010011111", -- INDEX 159
B"01111111" when B"0010100000", -- INDEX 160
B"01111011" when B"0010100001", -- INDEX 161
B"01100101" when B"0010100010", -- INDEX 162
B"00111111" when B"0010100011", -- INDEX 163
B"00001111" when B"0010100100", -- INDEX 164
B"11011101" when B"0010100101", -- INDEX 165
B"10110000" when B"0010100110", -- INDEX 166
B"10010000" when B"0010100111", -- INDEX 167
B"10000001" when B"0010101000", -- INDEX 168
B"10000101" when B"0010101001", -- INDEX 169
B"10011101" when B"0010101010", -- INDEX 170
B"11000011" when B"0010101011", -- INDEX 171
B"11110011" when B"0010101100", -- INDEX 172
B"00100110" when B"0010101101", -- INDEX 173
B"01010010" when B"0010101110", -- INDEX 174
B"01110001" when B"0010101111", -- INDEX 175
B"01111111" when B"0010110000", -- INDEX 176
B"01111010" when B"0010110001", -- INDEX 177
B"01100001" when B"0010110010", -- INDEX 178
B"00111010" when B"0010110011", -- INDEX 179
B"00001001" when B"0010110100", -- INDEX 180
B"11011000" when B"0010110101", -- INDEX 181
B"10101100" when B"0010110110", -- INDEX 182
B"10001101" when B"0010110111", -- INDEX 183
B"10000000" when B"0010111000", -- INDEX 184
B"10000111" when B"0010111001", -- INDEX 185
B"10100001" when B"0010111010", -- INDEX 186
B"11001001" when B"0010111011", -- INDEX 187
B"11111010" when B"0010111100", -- INDEX 188
B"00101100" when B"0010111101", -- INDEX 189
B"01010111" when B"0010111110", -- INDEX 190
B"01110100" when B"0010111111", -- INDEX 191
B"01111111" when B"0011000000", -- INDEX 192
B"01111000" when B"0011000001", -- INDEX 193
B"01011101" when B"0011000010", -- INDEX 194
B"00110100" when B"0011000011", -- INDEX 195
B"00000010" when B"0011000100", -- INDEX 196
B"11010001" when B"0011000101", -- INDEX 197
B"10100111" when B"0011000110", -- INDEX 198
B"10001010" when B"0011000111", -- INDEX 199
B"10000000" when B"0011001000", -- INDEX 200
B"10001010" when B"0011001001", -- INDEX 201
B"10100110" when B"0011001010", -- INDEX 202
B"11010000" when B"0011001011", -- INDEX 203
B"00000001" when B"0011001100", -- INDEX 204
B"00110011" when B"0011001101", -- INDEX 205
B"01011100" when B"0011001110", -- INDEX 206
B"01110111" when B"0011001111", -- INDEX 207
B"01111111" when B"0011010000", -- INDEX 208
B"01110101" when B"0011010001", -- INDEX 209
B"01010111" when B"0011010010", -- INDEX 210
B"00101101" when B"0011010011", -- INDEX 211
B"11111011" when B"0011010100", -- INDEX 212
B"11001010" when B"0011010101", -- INDEX 213
B"10100001" when B"0011010110", -- INDEX 214
B"10000111" when B"0011010111", -- INDEX 215
B"10000000" when B"0011011000", -- INDEX 216
B"10001101" when B"0011011001", -- INDEX 217
B"10101011" when B"0011011010", -- INDEX 218
B"11010111" when B"0011011011", -- INDEX 219
B"00001001" when B"0011011100", -- INDEX 220
B"00111001" when B"0011011101", -- INDEX 221
B"01100001" when B"0011011110", -- INDEX 222
B"01111010" when B"0011011111", -- INDEX 223
B"01111111" when B"0011100000", -- INDEX 224
B"01110010" when B"0011100001", -- INDEX 225
B"01010010" when B"0011100010", -- INDEX 226
B"00100110" when B"0011100011", -- INDEX 227
B"11110100" when B"0011100100", -- INDEX 228
B"11000100" when B"0011100101", -- INDEX 229
B"10011101" when B"0011100110", -- INDEX 230
B"10000101" when B"0011100111", -- INDEX 231
B"10000001" when B"0011101000", -- INDEX 232
B"10010000" when B"0011101001", -- INDEX 233
B"10110000" when B"0011101010", -- INDEX 234
B"11011100" when B"0011101011", -- INDEX 235
B"00001110" when B"0011101100", -- INDEX 236
B"00111110" when B"0011101101", -- INDEX 237
B"01100101" when B"0011101110", -- INDEX 238
B"01111011" when B"0011101111", -- INDEX 239
B"01111111" when B"0011110000", -- INDEX 240
B"01101111" when B"0011110001", -- INDEX 241
B"01001110" when B"0011110010", -- INDEX 242
B"00100001" when B"0011110011", -- INDEX 243
B"11101111" when B"0011110100", -- INDEX 244
B"11000000" when B"0011110101", -- INDEX 245
B"10011010" when B"0011110110", -- INDEX 246
B"10000100" when B"0011110111", -- INDEX 247
B"10000001" when B"0011111000", -- INDEX 248
B"10010010" when B"0011111001", -- INDEX 249
B"10110011" when B"0011111010", -- INDEX 250
B"11100001" when B"0011111011", -- INDEX 251
B"00010011" when B"0011111100", -- INDEX 252
B"01000010" when B"0011111101", -- INDEX 253
B"01100111" when B"0011111110", -- INDEX 254
B"01111100" when B"0011111111", -- INDEX 255
B"01111110" when B"0100000000", -- INDEX 256
B"01101101" when B"0100000001", -- INDEX 257
B"01001011" when B"0100000010", -- INDEX 258
B"00011101" when B"0100000011", -- INDEX 259
B"11101011" when B"0100000100", -- INDEX 260
B"10111100" when B"0100000101", -- INDEX 261
B"10011000" when B"0100000110", -- INDEX 262
B"10000011" when B"0100000111", -- INDEX 263
B"10000010" when B"0100001000", -- INDEX 264
B"10010100" when B"0100001001", -- INDEX 265
B"10110110" when B"0100001010", -- INDEX 266
B"11100100" when B"0100001011", -- INDEX 267
B"00010110" when B"0100001100", -- INDEX 268
B"01000101" when B"0100001101", -- INDEX 269
B"01101001" when B"0100001110", -- INDEX 270
B"01111101" when B"0100001111", -- INDEX 271
B"01111110" when B"0100010000", -- INDEX 272
B"01101100" when B"0100010001", -- INDEX 273
B"01001001" when B"0100010010", -- INDEX 274
B"00011011" when B"0100010011", -- INDEX 275
B"11101001" when B"0100010100", -- INDEX 276
B"10111010" when B"0100010101", -- INDEX 277
B"10010110" when B"0100010110", -- INDEX 278
B"10000011" when B"0100010111", -- INDEX 279
B"10000010" when B"0100011000", -- INDEX 280
B"10010101" when B"0100011001", -- INDEX 281
B"10111000" when B"0100011010", -- INDEX 282
B"11100110" when B"0100011011", -- INDEX 283
B"00011000" when B"0100011100", -- INDEX 284
B"01000110" when B"0100011101", -- INDEX 285
B"01101010" when B"0100011110", -- INDEX 286
B"01111101" when B"0100011111", -- INDEX 287
B"01111110" when B"0100100000", -- INDEX 288
B"01101011" when B"0100100001", -- INDEX 289
B"01001000" when B"0100100010", -- INDEX 290
B"00011001" when B"0100100011", -- INDEX 291
B"11100111" when B"0100100100", -- INDEX 292
B"10111001" when B"0100100101", -- INDEX 293
B"10010110" when B"0100100110", -- INDEX 294
B"10000011" when B"0100100111", -- INDEX 295
B"10000010" when B"0100101000", -- INDEX 296
B"10010101" when B"0100101001", -- INDEX 297
B"10111001" when B"0100101010", -- INDEX 298
B"11100111" when B"0100101011", -- INDEX 299
B"00011001" when B"0100101100", -- INDEX 300
B"01000111" when B"0100101101", -- INDEX 301
B"01101010" when B"0100101110", -- INDEX 302
B"01111101" when B"0100101111", -- INDEX 303
B"01111110" when B"0100110000", -- INDEX 304
B"01101011" when B"0100110001", -- INDEX 305
B"01000111" when B"0100110010", -- INDEX 306
B"00011001" when B"0100110011", -- INDEX 307
B"11100111" when B"0100110100", -- INDEX 308
B"10111001" when B"0100110101", -- INDEX 309
B"10010110" when B"0100110110", -- INDEX 310
B"10000011" when B"0100110111", -- INDEX 311
B"10000010" when B"0100111000", -- INDEX 312
B"10010101" when B"0100111001", -- INDEX 313
B"10111000" when B"0100111010", -- INDEX 314
B"11100110" when B"0100111011", -- INDEX 315
B"00011000" when B"0100111100", -- INDEX 316
B"01000110" when B"0100111101", -- INDEX 317
B"01101010" when B"0100111110", -- INDEX 318
B"01111101" when B"0100111111", -- INDEX 319
B"01111110" when B"0101000000", -- INDEX 320
B"01101011" when B"0101000001", -- INDEX 321
B"01001000" when B"0101000010", -- INDEX 322
B"00011010" when B"0101000011", -- INDEX 323
B"11101001" when B"0101000100", -- INDEX 324
B"10111010" when B"0101000101", -- INDEX 325
B"10010111" when B"0101000110", -- INDEX 326
B"10000011" when B"0101000111", -- INDEX 327
B"10000010" when B"0101001000", -- INDEX 328
B"10010100" when B"0101001001", -- INDEX 329
B"10110111" when B"0101001010", -- INDEX 330
B"11100100" when B"0101001011", -- INDEX 331
B"00010110" when B"0101001100", -- INDEX 332
B"01000101" when B"0101001101", -- INDEX 333
B"01101001" when B"0101001110", -- INDEX 334
B"01111101" when B"0101001111", -- INDEX 335
B"01111110" when B"0101010000", -- INDEX 336
B"01101100" when B"0101010001", -- INDEX 337
B"01001010" when B"0101010010", -- INDEX 338
B"00011101" when B"0101010011", -- INDEX 339
B"11101011" when B"0101010100", -- INDEX 340
B"10111101" when B"0101010101", -- INDEX 341
B"10011000" when B"0101010110", -- INDEX 342
B"10000011" when B"0101010111", -- INDEX 343
B"10000010" when B"0101011000", -- INDEX 344
B"10010011" when B"0101011001", -- INDEX 345
B"10110100" when B"0101011010", -- INDEX 346
B"11100001" when B"0101011011", -- INDEX 347
B"00010011" when B"0101011100", -- INDEX 348
B"01000010" when B"0101011101", -- INDEX 349
B"01100111" when B"0101011110", -- INDEX 350
B"01111100" when B"0101011111", -- INDEX 351
B"01111111" when B"0101100000", -- INDEX 352
B"01101110" when B"0101100001", -- INDEX 353
B"01001101" when B"0101100010", -- INDEX 354
B"00100000" when B"0101100011", -- INDEX 355
B"11101111" when B"0101100100", -- INDEX 356
B"11000000" when B"0101100101", -- INDEX 357
B"10011011" when B"0101100110", -- INDEX 358
B"10000100" when B"0101100111", -- INDEX 359
B"10000001" when B"0101101000", -- INDEX 360
B"10010001" when B"0101101001", -- INDEX 361
B"10110001" when B"0101101010", -- INDEX 362
B"11011101" when B"0101101011", -- INDEX 363
B"00001111" when B"0101101100", -- INDEX 364
B"00111110" when B"0101101101", -- INDEX 365
B"01100100" when B"0101101110", -- INDEX 366
B"01111011" when B"0101101111", -- INDEX 367
B"01111111" when B"0101110000", -- INDEX 368
B"01110001" when B"0101110001", -- INDEX 369
B"01010001" when B"0101110010", -- INDEX 370
B"00100101" when B"0101110011", -- INDEX 371
B"11110100" when B"0101110100", -- INDEX 372
B"11000100" when B"0101110101", -- INDEX 373
B"10011110" when B"0101110110", -- INDEX 374
B"10000110" when B"0101110111", -- INDEX 375
B"10000000" when B"0101111000", -- INDEX 376
B"10001110" when B"0101111001", -- INDEX 377
B"10101101" when B"0101111010", -- INDEX 378
B"11011000" when B"0101111011", -- INDEX 379
B"00001001" when B"0101111100", -- INDEX 380
B"00111001" when B"0101111101", -- INDEX 381
B"01100000" when B"0101111110", -- INDEX 382
B"01111001" when B"0101111111", -- INDEX 383
B"01111111" when B"0110000000", -- INDEX 384
B"01110011" when B"0110000001", -- INDEX 385
B"01010110" when B"0110000010", -- INDEX 386
B"00101011" when B"0110000011", -- INDEX 387
B"11111010" when B"0110000100", -- INDEX 388
B"11001010" when B"0110000101", -- INDEX 389
B"10100010" when B"0110000110", -- INDEX 390
B"10001000" when B"0110000111", -- INDEX 391
B"10000000" when B"0110001000", -- INDEX 392
B"10001011" when B"0110001001", -- INDEX 393
B"10101000" when B"0110001010", -- INDEX 394
B"11010001" when B"0110001011", -- INDEX 395
B"00000010" when B"0110001100", -- INDEX 396
B"00110010" when B"0110001101", -- INDEX 397
B"01011011" when B"0110001110", -- INDEX 398
B"01110110" when B"0110001111", -- INDEX 399
B"01111111" when B"0110010000", -- INDEX 400
B"01110110" when B"0110010001", -- INDEX 401
B"01011011" when B"0110010010", -- INDEX 402
B"00110010" when B"0110010011", -- INDEX 403
B"00000010" when B"0110010100", -- INDEX 404
B"11010001" when B"0110010101", -- INDEX 405
B"10101000" when B"0110010110", -- INDEX 406
B"10001011" when B"0110010111", -- INDEX 407
B"10000000" when B"0110011000", -- INDEX 408
B"10001000" when B"0110011001", -- INDEX 409
B"10100010" when B"0110011010", -- INDEX 410
B"11001010" when B"0110011011", -- INDEX 411
B"11111010" when B"0110011100", -- INDEX 412
B"00101011" when B"0110011101", -- INDEX 413
B"01010110" when B"0110011110", -- INDEX 414
B"01110011" when B"0110011111", -- INDEX 415
B"01111111" when B"0110100000", -- INDEX 416
B"01111001" when B"0110100001", -- INDEX 417
B"01100000" when B"0110100010", -- INDEX 418
B"00111001" when B"0110100011", -- INDEX 419
B"00001001" when B"0110100100", -- INDEX 420
B"11011000" when B"0110100101", -- INDEX 421
B"10101101" when B"0110100110", -- INDEX 422
B"10001110" when B"0110100111", -- INDEX 423
B"10000000" when B"0110101000", -- INDEX 424
B"10000110" when B"0110101001", -- INDEX 425
B"10011110" when B"0110101010", -- INDEX 426
B"11000100" when B"0110101011", -- INDEX 427
B"11110100" when B"0110101100", -- INDEX 428
B"00100101" when B"0110101101", -- INDEX 429
B"01010001" when B"0110101110", -- INDEX 430
B"01110001" when B"0110101111", -- INDEX 431
B"01111111" when B"0110110000", -- INDEX 432
B"01111011" when B"0110110001", -- INDEX 433
B"01100100" when B"0110110010", -- INDEX 434
B"00111110" when B"0110110011", -- INDEX 435
B"00001111" when B"0110110100", -- INDEX 436
B"11011101" when B"0110110101", -- INDEX 437
B"10110001" when B"0110110110", -- INDEX 438
B"10010001" when B"0110110111", -- INDEX 439
B"10000001" when B"0110111000", -- INDEX 440
B"10000100" when B"0110111001", -- INDEX 441
B"10011010" when B"0110111010", -- INDEX 442
B"11000000" when B"0110111011", -- INDEX 443
B"11101111" when B"0110111100", -- INDEX 444
B"00100000" when B"0110111101", -- INDEX 445
B"01001101" when B"0110111110", -- INDEX 446
B"01101110" when B"0110111111", -- INDEX 447
B"01111111" when B"0111000000", -- INDEX 448
B"01111100" when B"0111000001", -- INDEX 449
B"01100111" when B"0111000010", -- INDEX 450
B"01000010" when B"0111000011", -- INDEX 451
B"00010011" when B"0111000100", -- INDEX 452
B"11100010" when B"0111000101", -- INDEX 453
B"10110101" when B"0111000110", -- INDEX 454
B"10010011" when B"0111000111", -- INDEX 455
B"10000010" when B"0111001000", -- INDEX 456
B"10000011" when B"0111001001", -- INDEX 457
B"10011000" when B"0111001010", -- INDEX 458
B"10111100" when B"0111001011", -- INDEX 459
B"11101011" when B"0111001100", -- INDEX 460
B"00011101" when B"0111001101", -- INDEX 461
B"01001010" when B"0111001110", -- INDEX 462
B"01101100" when B"0111001111", -- INDEX 463
B"01111110" when B"0111010000", -- INDEX 464
B"01111101" when B"0111010001", -- INDEX 465
B"01101001" when B"0111010010", -- INDEX 466
B"01000101" when B"0111010011", -- INDEX 467
B"00010111" when B"0111010100", -- INDEX 468
B"11100101" when B"0111010101", -- INDEX 469
B"10110111" when B"0111010110", -- INDEX 470
B"10010101" when B"0111010111", -- INDEX 471
B"10000010" when B"0111011000", -- INDEX 472
B"10000011" when B"0111011001", -- INDEX 473
B"10010110" when B"0111011010", -- INDEX 474
B"10111010" when B"0111011011", -- INDEX 475
B"11101000" when B"0111011100", -- INDEX 476
B"00011010" when B"0111011101", -- INDEX 477
B"01001000" when B"0111011110", -- INDEX 478
B"01101011" when B"0111011111", -- INDEX 479
B"01111110" when B"0111100000", -- INDEX 480
B"01111101" when B"0111100001", -- INDEX 481
B"01101010" when B"0111100010", -- INDEX 482
B"01000111" when B"0111100011", -- INDEX 483
B"00011001" when B"0111100100", -- INDEX 484
B"11100111" when B"0111100101", -- INDEX 485
B"10111001" when B"0111100110", -- INDEX 486
B"10010101" when B"0111100111", -- INDEX 487
B"10000010" when B"0111101000", -- INDEX 488
B"10000010" when B"0111101001", -- INDEX 489
B"10010110" when B"0111101010", -- INDEX 490
B"10111001" when B"0111101011", -- INDEX 491
B"11100111" when B"0111101100", -- INDEX 492
B"00011001" when B"0111101101", -- INDEX 493
B"01000111" when B"0111101110", -- INDEX 494
B"01101010" when B"0111101111", -- INDEX 495
B"01111110" when B"0111110000", -- INDEX 496
B"01111110" when B"0111110001", -- INDEX 497
B"01101011" when B"0111110010", -- INDEX 498
B"01000111" when B"0111110011", -- INDEX 499
B"00011001" when B"0111110100", -- INDEX 500
B"11100111" when B"0111110101", -- INDEX 501
B"10111001" when B"0111110110", -- INDEX 502
B"10010110" when B"0111110111", -- INDEX 503
B"10000011" when B"0111111000", -- INDEX 504
B"10000010" when B"0111111001", -- INDEX 505
B"10010101" when B"0111111010", -- INDEX 506
B"10111001" when B"0111111011", -- INDEX 507
B"11100111" when B"0111111100", -- INDEX 508
B"00011001" when B"0111111101", -- INDEX 509
B"01000111" when B"0111111110", -- INDEX 510
B"01101010" when B"0111111111", -- INDEX 511
B"01111110" when B"1000000000", -- INDEX 512
B"01111110" when B"1000000001", -- INDEX 513
B"01101010" when B"1000000010", -- INDEX 514
B"01000111" when B"1000000011", -- INDEX 515
B"00011001" when B"1000000100", -- INDEX 516
B"11100111" when B"1000000101", -- INDEX 517
B"10111000" when B"1000000110", -- INDEX 518
B"10010101" when B"1000000111", -- INDEX 519
B"10000010" when B"1000001000", -- INDEX 520
B"10000011" when B"1000001001", -- INDEX 521
B"10010110" when B"1000001010", -- INDEX 522
B"10111010" when B"1000001011", -- INDEX 523
B"11101000" when B"1000001100", -- INDEX 524
B"00011010" when B"1000001101", -- INDEX 525
B"01001000" when B"1000001110", -- INDEX 526
B"01101011" when B"1000001111", -- INDEX 527
B"01111110" when B"1000010000", -- INDEX 528
B"01111101" when B"1000010001", -- INDEX 529
B"01101001" when B"1000010010", -- INDEX 530
B"01000101" when B"1000010011", -- INDEX 531
B"00010111" when B"1000010100", -- INDEX 532
B"11100101" when B"1000010101", -- INDEX 533
B"10110111" when B"1000010110", -- INDEX 534
B"10010100" when B"1000010111", -- INDEX 535
B"10000010" when B"1000011000", -- INDEX 536
B"10000011" when B"1000011001", -- INDEX 537
B"10010111" when B"1000011010", -- INDEX 538
B"10111100" when B"1000011011", -- INDEX 539
B"11101011" when B"1000011100", -- INDEX 540
B"00011101" when B"1000011101", -- INDEX 541
B"01001010" when B"1000011110", -- INDEX 542
B"01101101" when B"1000011111", -- INDEX 543
B"01111110" when B"1000100000", -- INDEX 544
B"01111101" when B"1000100001", -- INDEX 545
B"01101000" when B"1000100010", -- INDEX 546
B"01000011" when B"1000100011", -- INDEX 547
B"00010100" when B"1000100100", -- INDEX 548
B"11100010" when B"1000100101", -- INDEX 549
B"10110100" when B"1000100110", -- INDEX 550
B"10010010" when B"1000100111", -- INDEX 551
B"10000001" when B"1000101000", -- INDEX 552
B"10000100" when B"1000101001", -- INDEX 553
B"10011010" when B"1000101010", -- INDEX 554
B"10111111" when B"1000101011", -- INDEX 555
B"11101110" when B"1000101100", -- INDEX 556
B"00100001" when B"1000101101", -- INDEX 557
B"01001110" when B"1000101110", -- INDEX 558
B"01101111" when B"1000101111", -- INDEX 559
B"01111111" when B"1000110000", -- INDEX 560
B"01111011" when B"1000110001", -- INDEX 561
B"01100101" when B"1000110010", -- INDEX 562
B"00111111" when B"1000110011", -- INDEX 563
B"00001111" when B"1000110100", -- INDEX 564
B"11011101" when B"1000110101", -- INDEX 565
B"10110000" when B"1000110110", -- INDEX 566
B"10010000" when B"1000110111", -- INDEX 567
B"10000001" when B"1000111000", -- INDEX 568
B"10000101" when B"1000111001", -- INDEX 569
B"10011101" when B"1000111010", -- INDEX 570
B"11000011" when B"1000111011", -- INDEX 571
B"11110011" when B"1000111100", -- INDEX 572
B"00100110" when B"1000111101", -- INDEX 573
B"01010010" when B"1000111110", -- INDEX 574
B"01110001" when B"1000111111", -- INDEX 575
B"01111111" when B"1001000000", -- INDEX 576
B"01111010" when B"1001000001", -- INDEX 577
B"01100001" when B"1001000010", -- INDEX 578
B"00111010" when B"1001000011", -- INDEX 579
B"00001001" when B"1001000100", -- INDEX 580
B"11011000" when B"1001000101", -- INDEX 581
B"10101100" when B"1001000110", -- INDEX 582
B"10001101" when B"1001000111", -- INDEX 583
B"10000000" when B"1001001000", -- INDEX 584
B"10000111" when B"1001001001", -- INDEX 585
B"10100001" when B"1001001010", -- INDEX 586
B"11001001" when B"1001001011", -- INDEX 587
B"11111010" when B"1001001100", -- INDEX 588
B"00101100" when B"1001001101", -- INDEX 589
B"01010111" when B"1001001110", -- INDEX 590
B"01110100" when B"1001001111", -- INDEX 591
B"01111111" when B"1001010000", -- INDEX 592
B"01111000" when B"1001010001", -- INDEX 593
B"01011101" when B"1001010010", -- INDEX 594
B"00110100" when B"1001010011", -- INDEX 595
B"00000010" when B"1001010100", -- INDEX 596
B"11010001" when B"1001010101", -- INDEX 597
B"10100111" when B"1001010110", -- INDEX 598
B"10001010" when B"1001010111", -- INDEX 599
B"10000000" when B"1001011000", -- INDEX 600
B"10001010" when B"1001011001", -- INDEX 601
B"10100110" when B"1001011010", -- INDEX 602
B"11010000" when B"1001011011", -- INDEX 603
B"00000001" when B"1001011100", -- INDEX 604
B"00110011" when B"1001011101", -- INDEX 605
B"01011100" when B"1001011110", -- INDEX 606
B"01110111" when B"1001011111", -- INDEX 607
B"01111111" when B"1001100000", -- INDEX 608
B"01110101" when B"1001100001", -- INDEX 609
B"01010111" when B"1001100010", -- INDEX 610
B"00101101" when B"1001100011", -- INDEX 611
B"11111011" when B"1001100100", -- INDEX 612
B"11001010" when B"1001100101", -- INDEX 613
B"10100001" when B"1001100110", -- INDEX 614
B"10000111" when B"1001100111", -- INDEX 615
B"10000000" when B"1001101000", -- INDEX 616
B"10001101" when B"1001101001", -- INDEX 617
B"10101011" when B"1001101010", -- INDEX 618
B"11010111" when B"1001101011", -- INDEX 619
B"00001001" when B"1001101100", -- INDEX 620
B"00111001" when B"1001101101", -- INDEX 621
B"01100001" when B"1001101110", -- INDEX 622
B"01111010" when B"1001101111", -- INDEX 623
B"01111111" when B"1001110000", -- INDEX 624
B"01110010" when B"1001110001", -- INDEX 625
B"01010010" when B"1001110010", -- INDEX 626
B"00100110" when B"1001110011", -- INDEX 627
B"11110100" when B"1001110100", -- INDEX 628
B"11000100" when B"1001110101", -- INDEX 629
B"10011101" when B"1001110110", -- INDEX 630
B"10000101" when B"1001110111", -- INDEX 631
B"10000001" when B"1001111000", -- INDEX 632
B"10010000" when B"1001111001", -- INDEX 633
B"10110000" when B"1001111010", -- INDEX 634
B"11011100" when B"1001111011", -- INDEX 635
B"00001110" when B"1001111100", -- INDEX 636
B"00111110" when B"1001111101", -- INDEX 637
B"01100101" when B"1001111110", -- INDEX 638
B"01111011" when B"1001111111", -- INDEX 639
B"01111111" when B"1010000000", -- INDEX 640
B"01101111" when B"1010000001", -- INDEX 641
B"01001110" when B"1010000010", -- INDEX 642
B"00100001" when B"1010000011", -- INDEX 643
B"11101111" when B"1010000100", -- INDEX 644
B"11000000" when B"1010000101", -- INDEX 645
B"10011010" when B"1010000110", -- INDEX 646
B"10000100" when B"1010000111", -- INDEX 647
B"10000001" when B"1010001000", -- INDEX 648
B"10010010" when B"1010001001", -- INDEX 649
B"10110011" when B"1010001010", -- INDEX 650
B"11100001" when B"1010001011", -- INDEX 651
B"00010011" when B"1010001100", -- INDEX 652
B"01000010" when B"1010001101", -- INDEX 653
B"01100111" when B"1010001110", -- INDEX 654
B"01111100" when B"1010001111", -- INDEX 655
B"01111110" when B"1010010000", -- INDEX 656
B"01101101" when B"1010010001", -- INDEX 657
B"01001011" when B"1010010010", -- INDEX 658
B"00011101" when B"1010010011", -- INDEX 659
B"11101011" when B"1010010100", -- INDEX 660
B"10111100" when B"1010010101", -- INDEX 661
B"10011000" when B"1010010110", -- INDEX 662
B"10000011" when B"1010010111", -- INDEX 663
B"10000010" when B"1010011000", -- INDEX 664
B"10010100" when B"1010011001", -- INDEX 665
B"10110110" when B"1010011010", -- INDEX 666
B"11100100" when B"1010011011", -- INDEX 667
B"00010110" when B"1010011100", -- INDEX 668
B"01000101" when B"1010011101", -- INDEX 669
B"01101001" when B"1010011110", -- INDEX 670
B"01111101" when B"1010011111", -- INDEX 671
B"01111110" when B"1010100000", -- INDEX 672
B"01101100" when B"1010100001", -- INDEX 673
B"01001001" when B"1010100010", -- INDEX 674
B"00011011" when B"1010100011", -- INDEX 675
B"11101001" when B"1010100100", -- INDEX 676
B"10111010" when B"1010100101", -- INDEX 677
B"10010110" when B"1010100110", -- INDEX 678
B"10000011" when B"1010100111", -- INDEX 679
B"10000010" when B"1010101000", -- INDEX 680
B"10010101" when B"1010101001", -- INDEX 681
B"10111000" when B"1010101010", -- INDEX 682
B"11100110" when B"1010101011", -- INDEX 683
B"00011000" when B"1010101100", -- INDEX 684
B"01000110" when B"1010101101", -- INDEX 685
B"01101010" when B"1010101110", -- INDEX 686
B"01111101" when B"1010101111", -- INDEX 687
B"01111110" when B"1010110000", -- INDEX 688
B"01101011" when B"1010110001", -- INDEX 689
B"01001000" when B"1010110010", -- INDEX 690
B"00011001" when B"1010110011", -- INDEX 691
B"11100111" when B"1010110100", -- INDEX 692
B"10111001" when B"1010110101", -- INDEX 693
B"10010110" when B"1010110110", -- INDEX 694
B"10000011" when B"1010110111", -- INDEX 695
B"10000010" when B"1010111000", -- INDEX 696
B"10010101" when B"1010111001", -- INDEX 697
B"10111001" when B"1010111010", -- INDEX 698
B"11100111" when B"1010111011", -- INDEX 699
B"00011001" when B"1010111100", -- INDEX 700
B"01000111" when B"1010111101", -- INDEX 701
B"01101010" when B"1010111110", -- INDEX 702
B"01111101" when B"1010111111", -- INDEX 703
B"01111110" when B"1011000000", -- INDEX 704
B"01101011" when B"1011000001", -- INDEX 705
B"01000111" when B"1011000010", -- INDEX 706
B"00011001" when B"1011000011", -- INDEX 707
B"11100111" when B"1011000100", -- INDEX 708
B"10111001" when B"1011000101", -- INDEX 709
B"10010110" when B"1011000110", -- INDEX 710
B"10000011" when B"1011000111", -- INDEX 711
B"10000010" when B"1011001000", -- INDEX 712
B"10010101" when B"1011001001", -- INDEX 713
B"10111000" when B"1011001010", -- INDEX 714
B"11100110" when B"1011001011", -- INDEX 715
B"00011000" when B"1011001100", -- INDEX 716
B"01000110" when B"1011001101", -- INDEX 717
B"01101010" when B"1011001110", -- INDEX 718
B"01111101" when B"1011001111", -- INDEX 719
B"01111110" when B"1011010000", -- INDEX 720
B"01101011" when B"1011010001", -- INDEX 721
B"01001000" when B"1011010010", -- INDEX 722
B"00011010" when B"1011010011", -- INDEX 723
B"11101001" when B"1011010100", -- INDEX 724
B"10111010" when B"1011010101", -- INDEX 725
B"10010111" when B"1011010110", -- INDEX 726
B"10000011" when B"1011010111", -- INDEX 727
B"10000010" when B"1011011000", -- INDEX 728
B"10010100" when B"1011011001", -- INDEX 729
B"10110111" when B"1011011010", -- INDEX 730
B"11100100" when B"1011011011", -- INDEX 731
B"00010110" when B"1011011100", -- INDEX 732
B"01000101" when B"1011011101", -- INDEX 733
B"01101001" when B"1011011110", -- INDEX 734
B"01111101" when B"1011011111", -- INDEX 735
B"01111110" when B"1011100000", -- INDEX 736
B"01101100" when B"1011100001", -- INDEX 737
B"01001010" when B"1011100010", -- INDEX 738
B"00011101" when B"1011100011", -- INDEX 739
B"11101011" when B"1011100100", -- INDEX 740
B"10111101" when B"1011100101", -- INDEX 741
B"10011000" when B"1011100110", -- INDEX 742
B"10000011" when B"1011100111", -- INDEX 743
B"10000010" when B"1011101000", -- INDEX 744
B"10010011" when B"1011101001", -- INDEX 745
B"10110100" when B"1011101010", -- INDEX 746
B"11100001" when B"1011101011", -- INDEX 747
B"00010011" when B"1011101100", -- INDEX 748
B"01000010" when B"1011101101", -- INDEX 749
B"01100111" when B"1011101110", -- INDEX 750
B"01111100" when B"1011101111", -- INDEX 751
B"01111111" when B"1011110000", -- INDEX 752
B"01101110" when B"1011110001", -- INDEX 753
B"01001101" when B"1011110010", -- INDEX 754
B"00100000" when B"1011110011", -- INDEX 755
B"11101111" when B"1011110100", -- INDEX 756
B"11000000" when B"1011110101", -- INDEX 757
B"10011011" when B"1011110110", -- INDEX 758
B"10000100" when B"1011110111", -- INDEX 759
B"10000001" when B"1011111000", -- INDEX 760
B"10010001" when B"1011111001", -- INDEX 761
B"10110001" when B"1011111010", -- INDEX 762
B"11011101" when B"1011111011", -- INDEX 763
B"00001111" when B"1011111100", -- INDEX 764
B"00111110" when B"1011111101", -- INDEX 765
B"01100100" when B"1011111110", -- INDEX 766
B"01111011" when B"1011111111", -- INDEX 767
B"01111111" when B"1100000000", -- INDEX 768
B"01110001" when B"1100000001", -- INDEX 769
B"01010001" when B"1100000010", -- INDEX 770
B"00100101" when B"1100000011", -- INDEX 771
B"11110100" when B"1100000100", -- INDEX 772
B"11000100" when B"1100000101", -- INDEX 773
B"10011110" when B"1100000110", -- INDEX 774
B"10000110" when B"1100000111", -- INDEX 775
B"10000000" when B"1100001000", -- INDEX 776
B"10001110" when B"1100001001", -- INDEX 777
B"10101101" when B"1100001010", -- INDEX 778
B"11011000" when B"1100001011", -- INDEX 779
B"00001001" when B"1100001100", -- INDEX 780
B"00111001" when B"1100001101", -- INDEX 781
B"01100000" when B"1100001110", -- INDEX 782
B"01111001" when B"1100001111", -- INDEX 783
B"01111111" when B"1100010000", -- INDEX 784
B"01110011" when B"1100010001", -- INDEX 785
B"01010110" when B"1100010010", -- INDEX 786
B"00101011" when B"1100010011", -- INDEX 787
B"11111010" when B"1100010100", -- INDEX 788
B"11001010" when B"1100010101", -- INDEX 789
B"10100010" when B"1100010110", -- INDEX 790
B"10001000" when B"1100010111", -- INDEX 791
B"10000000" when B"1100011000", -- INDEX 792
B"10001011" when B"1100011001", -- INDEX 793
B"10101000" when B"1100011010", -- INDEX 794
B"11010001" when B"1100011011", -- INDEX 795
B"00000010" when B"1100011100", -- INDEX 796
B"00110010" when B"1100011101", -- INDEX 797
B"01011011" when B"1100011110", -- INDEX 798
B"01110110" when B"1100011111", -- INDEX 799
B"01111111" when B"1100100000", -- INDEX 800
B"01110110" when B"1100100001", -- INDEX 801
B"01011011" when B"1100100010", -- INDEX 802
B"00110010" when B"1100100011", -- INDEX 803
B"00000010" when B"1100100100", -- INDEX 804
B"11010001" when B"1100100101", -- INDEX 805
B"10101000" when B"1100100110", -- INDEX 806
B"10001011" when B"1100100111", -- INDEX 807
B"10000000" when B"1100101000", -- INDEX 808
B"10001000" when B"1100101001", -- INDEX 809
B"10100010" when B"1100101010", -- INDEX 810
B"11001010" when B"1100101011", -- INDEX 811
B"11111010" when B"1100101100", -- INDEX 812
B"00101011" when B"1100101101", -- INDEX 813
B"01010110" when B"1100101110", -- INDEX 814
B"01110011" when B"1100101111", -- INDEX 815
B"01111111" when B"1100110000", -- INDEX 816
B"01111001" when B"1100110001", -- INDEX 817
B"01100000" when B"1100110010", -- INDEX 818
B"00111001" when B"1100110011", -- INDEX 819
B"00001001" when B"1100110100", -- INDEX 820
B"11011000" when B"1100110101", -- INDEX 821
B"10101101" when B"1100110110", -- INDEX 822
B"10001110" when B"1100110111", -- INDEX 823
B"10000000" when B"1100111000", -- INDEX 824
B"10000110" when B"1100111001", -- INDEX 825
B"10011110" when B"1100111010", -- INDEX 826
B"11000100" when B"1100111011", -- INDEX 827
B"11110100" when B"1100111100", -- INDEX 828
B"00100101" when B"1100111101", -- INDEX 829
B"01010001" when B"1100111110", -- INDEX 830
B"01110001" when B"1100111111", -- INDEX 831
B"01111111" when B"1101000000", -- INDEX 832
B"01111011" when B"1101000001", -- INDEX 833
B"01100100" when B"1101000010", -- INDEX 834
B"00111110" when B"1101000011", -- INDEX 835
B"00001111" when B"1101000100", -- INDEX 836
B"11011101" when B"1101000101", -- INDEX 837
B"10110001" when B"1101000110", -- INDEX 838
B"10010001" when B"1101000111", -- INDEX 839
B"10000001" when B"1101001000", -- INDEX 840
B"10000100" when B"1101001001", -- INDEX 841
B"10011010" when B"1101001010", -- INDEX 842
B"11000000" when B"1101001011", -- INDEX 843
B"11101111" when B"1101001100", -- INDEX 844
B"00100000" when B"1101001101", -- INDEX 845
B"01001101" when B"1101001110", -- INDEX 846
B"01101110" when B"1101001111", -- INDEX 847
B"01111111" when B"1101010000", -- INDEX 848
B"01111100" when B"1101010001", -- INDEX 849
B"01100111" when B"1101010010", -- INDEX 850
B"01000010" when B"1101010011", -- INDEX 851
B"00010011" when B"1101010100", -- INDEX 852
B"11100010" when B"1101010101", -- INDEX 853
B"10110101" when B"1101010110", -- INDEX 854
B"10010011" when B"1101010111", -- INDEX 855
B"10000010" when B"1101011000", -- INDEX 856
B"10000011" when B"1101011001", -- INDEX 857
B"10011000" when B"1101011010", -- INDEX 858
B"10111100" when B"1101011011", -- INDEX 859
B"11101011" when B"1101011100", -- INDEX 860
B"00011101" when B"1101011101", -- INDEX 861
B"01001010" when B"1101011110", -- INDEX 862
B"01101100" when B"1101011111", -- INDEX 863
B"01111110" when B"1101100000", -- INDEX 864
B"01111101" when B"1101100001", -- INDEX 865
B"01101001" when B"1101100010", -- INDEX 866
B"01000101" when B"1101100011", -- INDEX 867
B"00010111" when B"1101100100", -- INDEX 868
B"11100101" when B"1101100101", -- INDEX 869
B"10110111" when B"1101100110", -- INDEX 870
B"10010101" when B"1101100111", -- INDEX 871
B"10000010" when B"1101101000", -- INDEX 872
B"10000011" when B"1101101001", -- INDEX 873
B"10010110" when B"1101101010", -- INDEX 874
B"10111010" when B"1101101011", -- INDEX 875
B"11101000" when B"1101101100", -- INDEX 876
B"00011010" when B"1101101101", -- INDEX 877
B"01001000" when B"1101101110", -- INDEX 878
B"01101011" when B"1101101111", -- INDEX 879
B"01111110" when B"1101110000", -- INDEX 880
B"01111101" when B"1101110001", -- INDEX 881
B"01101010" when B"1101110010", -- INDEX 882
B"01000111" when B"1101110011", -- INDEX 883
B"00011001" when B"1101110100", -- INDEX 884
B"11100111" when B"1101110101", -- INDEX 885
B"10111001" when B"1101110110", -- INDEX 886
B"10010101" when B"1101110111", -- INDEX 887
B"10000010" when B"1101111000", -- INDEX 888
B"10000010" when B"1101111001", -- INDEX 889
B"10010110" when B"1101111010", -- INDEX 890
B"10111001" when B"1101111011", -- INDEX 891
B"11100111" when B"1101111100", -- INDEX 892
B"00011001" when B"1101111101", -- INDEX 893
B"01000111" when B"1101111110", -- INDEX 894
B"01101010" when B"1101111111", -- INDEX 895
B"01111110" when B"1110000000", -- INDEX 896
B"01111110" when B"1110000001", -- INDEX 897
B"01101011" when B"1110000010", -- INDEX 898
B"01000111" when B"1110000011", -- INDEX 899
B"00011001" when B"1110000100", -- INDEX 900
B"11100111" when B"1110000101", -- INDEX 901
B"10111001" when B"1110000110", -- INDEX 902
B"10010110" when B"1110000111", -- INDEX 903
B"10000011" when B"1110001000", -- INDEX 904
B"10000010" when B"1110001001", -- INDEX 905
B"10010101" when B"1110001010", -- INDEX 906
B"10111001" when B"1110001011", -- INDEX 907
B"11100111" when B"1110001100", -- INDEX 908
B"00011001" when B"1110001101", -- INDEX 909
B"01000111" when B"1110001110", -- INDEX 910
B"01101010" when B"1110001111", -- INDEX 911
B"01111110" when B"1110010000", -- INDEX 912
B"01111110" when B"1110010001", -- INDEX 913
B"01101010" when B"1110010010", -- INDEX 914
B"01000111" when B"1110010011", -- INDEX 915
B"00011001" when B"1110010100", -- INDEX 916
B"11100111" when B"1110010101", -- INDEX 917
B"10111000" when B"1110010110", -- INDEX 918
B"10010101" when B"1110010111", -- INDEX 919
B"10000010" when B"1110011000", -- INDEX 920
B"10000011" when B"1110011001", -- INDEX 921
B"10010110" when B"1110011010", -- INDEX 922
B"10111010" when B"1110011011", -- INDEX 923
B"11101000" when B"1110011100", -- INDEX 924
B"00011010" when B"1110011101", -- INDEX 925
B"01001000" when B"1110011110", -- INDEX 926
B"01101011" when B"1110011111", -- INDEX 927
B"01111110" when B"1110100000", -- INDEX 928
B"01111101" when B"1110100001", -- INDEX 929
B"01101001" when B"1110100010", -- INDEX 930
B"01000101" when B"1110100011", -- INDEX 931
B"00010111" when B"1110100100", -- INDEX 932
B"11100101" when B"1110100101", -- INDEX 933
B"10110111" when B"1110100110", -- INDEX 934
B"10010100" when B"1110100111", -- INDEX 935
B"10000010" when B"1110101000", -- INDEX 936
B"10000011" when B"1110101001", -- INDEX 937
B"10010111" when B"1110101010", -- INDEX 938
B"10111100" when B"1110101011", -- INDEX 939
B"11101011" when B"1110101100", -- INDEX 940
B"00011101" when B"1110101101", -- INDEX 941
B"01001010" when B"1110101110", -- INDEX 942
B"01101101" when B"1110101111", -- INDEX 943
B"01111110" when B"1110110000", -- INDEX 944
B"01111101" when B"1110110001", -- INDEX 945
B"01101000" when B"1110110010", -- INDEX 946
B"01000011" when B"1110110011", -- INDEX 947
B"00010100" when B"1110110100", -- INDEX 948
B"11100010" when B"1110110101", -- INDEX 949
B"10110100" when B"1110110110", -- INDEX 950
B"10010010" when B"1110110111", -- INDEX 951
B"10000001" when B"1110111000", -- INDEX 952
B"10000100" when B"1110111001", -- INDEX 953
B"10011010" when B"1110111010", -- INDEX 954
B"10111111" when B"1110111011", -- INDEX 955
B"11101110" when B"1110111100", -- INDEX 956
B"00100001" when B"1110111101", -- INDEX 957
B"01001110" when B"1110111110", -- INDEX 958
B"01101111" when B"1110111111", -- INDEX 959
B"01111111" when B"1111000000", -- INDEX 960
B"01111011" when B"1111000001", -- INDEX 961
B"01100101" when B"1111000010", -- INDEX 962
B"00111111" when B"1111000011", -- INDEX 963
B"00001111" when B"1111000100", -- INDEX 964
B"11011101" when B"1111000101", -- INDEX 965
B"10110000" when B"1111000110", -- INDEX 966
B"10010000" when B"1111000111", -- INDEX 967
B"10000001" when B"1111001000", -- INDEX 968
B"10000101" when B"1111001001", -- INDEX 969
B"10011101" when B"1111001010", -- INDEX 970
B"11000011" when B"1111001011", -- INDEX 971
B"11110011" when B"1111001100", -- INDEX 972
B"00100110" when B"1111001101", -- INDEX 973
B"01010010" when B"1111001110", -- INDEX 974
B"01110001" when B"1111001111", -- INDEX 975
B"01111111" when B"1111010000", -- INDEX 976
B"01111010" when B"1111010001", -- INDEX 977
B"01100001" when B"1111010010", -- INDEX 978
B"00111010" when B"1111010011", -- INDEX 979
B"00001001" when B"1111010100", -- INDEX 980
B"11011000" when B"1111010101", -- INDEX 981
B"10101100" when B"1111010110", -- INDEX 982
B"10001101" when B"1111010111", -- INDEX 983
B"10000000" when B"1111011000", -- INDEX 984
B"10000111" when B"1111011001", -- INDEX 985
B"10100001" when B"1111011010", -- INDEX 986
B"11001001" when B"1111011011", -- INDEX 987
B"11111010" when B"1111011100", -- INDEX 988
B"00101100" when B"1111011101", -- INDEX 989
B"01010111" when B"1111011110", -- INDEX 990
B"01110100" when B"1111011111", -- INDEX 991
B"01111111" when B"1111100000", -- INDEX 992
B"01111000" when B"1111100001", -- INDEX 993
B"01011101" when B"1111100010", -- INDEX 994
B"00110100" when B"1111100011", -- INDEX 995
B"00000010" when B"1111100100", -- INDEX 996
B"11010001" when B"1111100101", -- INDEX 997
B"10100111" when B"1111100110", -- INDEX 998
B"10001010" when B"1111100111", -- INDEX 999
 
-- END INPUT FM SIGNAL
B"00000000" when others;
 
end input_data;
/tags/VSFR_1/source/adder_15bit.vhdl
0,0 → 1,208
-- $Id: adder_15bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Adder 15 bit
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : adder_15bit.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/02
-- Last update :
-- Simulators : Modelsim 6.0/Windows98
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Ripple carry adder 15 bit with output 16 bit
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
entity adder_15bit is
port (
addend_15bit : in bit_vector (14 downto 0);
augend_15bit : in bit_vector (14 downto 0);
adder15_output: out bit_vector (15 downto 0) -- 16 bit output
);
end adder_15bit;
 
architecture structural of adder_15bit is
 
component fulladder
port (
addend : in bit;
augend : in bit;
carry_in : in bit;
sum : out bit;
carry : out bit
);
end component;
 
-- internal signal
signal c00 : bit;
signal c01 : bit;
signal c02 : bit;
signal c03 : bit;
signal c04 : bit;
signal c05 : bit;
signal c06 : bit;
signal c07 : bit;
signal c08 : bit;
signal c09 : bit;
signal c10 : bit;
signal c11 : bit;
signal c12 : bit;
signal c13 : bit;
signal c14 : bit;
signal c15 : bit;
signal over15 : bit;
signal adder15_output_int : bit_vector (15 downto 0);
 
begin
 
c00 <= '0';
over15 <= (addend_15bit (14) xor augend_15bit (14));
adder15_output_int (15) <= ((adder15_output_int (14) and over15) or
(c15 and (not (over15))));
adder15_output <= adder15_output_int;
 
fa14 : fulladder
port map (
addend => addend_15bit(14),
augend => augend_15bit(14),
carry_in => c14,
sum => adder15_output_int(14),
carry => c15
);
 
fa13 : fulladder
port map (
addend => addend_15bit(13),
augend => augend_15bit(13),
carry_in => c13,
sum => adder15_output_int(13),
carry => c14
);
 
fa12 : fulladder
port map (
addend => addend_15bit(12),
augend => augend_15bit(12),
carry_in => c12,
sum => adder15_output_int(12),
carry => c13
);
 
fa11 : fulladder
port map (
addend => addend_15bit(11),
augend => augend_15bit(11),
carry_in => c11,
sum => adder15_output_int(11),
carry => c12
);
 
fa10 : fulladder
port map (
addend => addend_15bit(10),
augend => augend_15bit(10),
carry_in => c10,
sum => adder15_output_int(10),
carry => c11
);
 
fa09 : fulladder
port map (
addend => addend_15bit(09),
augend => augend_15bit(09),
carry_in => c09,
sum => adder15_output_int(09),
carry => c10
);
 
fa08 : fulladder
port map (
addend => addend_15bit(08),
augend => augend_15bit(08),
carry_in => c08,
sum => adder15_output_int(08),
carry => c09
);
 
fa07 : fulladder
port map (
addend => addend_15bit(07),
augend => augend_15bit(07),
carry_in => c07,
sum => adder15_output_int(07),
carry => c08
);
 
fa06 : fulladder
port map (
addend => addend_15bit(06),
augend => augend_15bit(06),
carry_in => c06,
sum => adder15_output_int(06),
carry => c07
);
 
fa05 : fulladder
port map (
addend => addend_15bit(05),
augend => augend_15bit(05),
carry_in => c05,
sum => adder15_output_int(05),
carry => c06
);
 
fa04 : fulladder
port map (
addend => addend_15bit(04),
augend => augend_15bit(04),
carry_in => c04,
sum => adder15_output_int(04),
carry => c05
);
 
fa03 : fulladder
port map (
addend => addend_15bit(03),
augend => augend_15bit(03),
carry_in => c03,
sum => adder15_output_int(03),
carry => c04
);
 
fa02 : fulladder
port map (
addend => addend_15bit(02),
augend => augend_15bit(02),
carry_in => c02,
sum => adder15_output_int(02),
carry => c03
);
 
fa01 : fulladder
port map (
addend => addend_15bit(01),
augend => augend_15bit(01),
carry_in => c01,
sum => adder15_output_int(01),
carry => c02
);
 
fa00 : fulladder
port map (
addend => addend_15bit(00),
augend => augend_15bit(00),
carry_in => c00,
sum => adder15_output_int(00),
carry => c01
);
 
end structural;
/tags/VSFR_1/source/adder_16bit.vhdl
0,0 → 1,218
-- $Id: adder_16bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Adder 16 bit
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : adder_16bit.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/23
-- Last update :
-- Simulators : Modelsim 6.0/Windows98
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Ripple carry adder 16 bit with output 17 bit
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
entity adder_16bit is
port (
addend_16bit : in bit_vector (15 downto 0);
augend_16bit : in bit_vector (15 downto 0);
adder16_output: out bit_vector (16 downto 0) -- 17 bit output
);
end adder_16bit;
 
architecture structural of adder_16bit is
 
component fulladder
port (
addend : in bit;
augend : in bit;
carry_in : in bit;
sum : out bit;
carry : out bit
);
end component;
 
-- internal signal
signal c00 : bit;
signal c01 : bit;
signal c02 : bit;
signal c03 : bit;
signal c04 : bit;
signal c05 : bit;
signal c06 : bit;
signal c07 : bit;
signal c08 : bit;
signal c09 : bit;
signal c10 : bit;
signal c11 : bit;
signal c12 : bit;
signal c13 : bit;
signal c14 : bit;
signal c15 : bit;
signal c16 : bit;
signal over16 : bit;
signal adder16_output_int : bit_vector (16 downto 0);
 
begin
 
c00 <= '0';
over16 <= (addend_16bit (15) xor augend_16bit (15));
adder16_output_int (16) <= ((adder16_output_int (15) and over16) or
(c16 and (not (over16))));
adder16_output <= adder16_output_int;
 
fa15 : fulladder
port map (
addend => addend_16bit(15),
augend => augend_16bit(15),
carry_in => c15,
sum => adder16_output_int(15),
carry => c16
);
 
fa14 : fulladder
port map (
addend => addend_16bit(14),
augend => augend_16bit(14),
carry_in => c14,
sum => adder16_output_int(14),
carry => c15
);
 
fa13 : fulladder
port map (
addend => addend_16bit(13),
augend => augend_16bit(13),
carry_in => c13,
sum => adder16_output_int(13),
carry => c14
);
 
fa12 : fulladder
port map (
addend => addend_16bit(12),
augend => augend_16bit(12),
carry_in => c12,
sum => adder16_output_int(12),
carry => c13
);
 
fa11 : fulladder
port map (
addend => addend_16bit(11),
augend => augend_16bit(11),
carry_in => c11,
sum => adder16_output_int(11),
carry => c12
);
 
fa10 : fulladder
port map (
addend => addend_16bit(10),
augend => augend_16bit(10),
carry_in => c10,
sum => adder16_output_int(10),
carry => c11
);
 
fa09 : fulladder
port map (
addend => addend_16bit(09),
augend => augend_16bit(09),
carry_in => c09,
sum => adder16_output_int(09),
carry => c10
);
 
fa08 : fulladder
port map (
addend => addend_16bit(08),
augend => augend_16bit(08),
carry_in => c08,
sum => adder16_output_int(08),
carry => c09
);
 
fa07 : fulladder
port map (
addend => addend_16bit(07),
augend => augend_16bit(07),
carry_in => c07,
sum => adder16_output_int(07),
carry => c08
);
 
fa06 : fulladder
port map (
addend => addend_16bit(06),
augend => augend_16bit(06),
carry_in => c06,
sum => adder16_output_int(06),
carry => c07
);
 
fa05 : fulladder
port map (
addend => addend_16bit(05),
augend => augend_16bit(05),
carry_in => c05,
sum => adder16_output_int(05),
carry => c06
);
 
fa04 : fulladder
port map (
addend => addend_16bit(04),
augend => augend_16bit(04),
carry_in => c04,
sum => adder16_output_int(04),
carry => c05
);
 
fa03 : fulladder
port map (
addend => addend_16bit(03),
augend => augend_16bit(03),
carry_in => c03,
sum => adder16_output_int(03),
carry => c04
);
 
fa02 : fulladder
port map (
addend => addend_16bit(02),
augend => augend_16bit(02),
carry_in => c02,
sum => adder16_output_int(02),
carry => c03
);
 
fa01 : fulladder
port map (
addend => addend_16bit(01),
augend => augend_16bit(01),
carry_in => c01,
sum => adder16_output_int(01),
carry => c02
);
 
fa00 : fulladder
port map (
addend => addend_16bit(00),
augend => augend_16bit(00),
carry_in => c00,
sum => adder16_output_int(00),
carry => c01
);
 
end structural;
/tags/VSFR_1/source/adder_09bit.vhdl
0,0 → 1,147
-- $Id: adder_09bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Adder 09 bit
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : adder_09bit.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/23
-- Last update :
-- Simulators : Modelsim 6.0
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Ripple carry adder 09 bit with output 10 bit
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
entity adder_09bit is
port (
addend_09bit : in bit_vector (08 downto 0);
augend_09bit : in bit_vector (08 downto 0);
adder09_output: out bit_vector (09 downto 0)
);
end adder_09bit;
 
architecture structural of adder_09bit is
 
component fulladder
port (
addend : in bit;
augend : in bit;
carry_in : in bit;
sum : out bit;
carry : out bit
);
end component;
 
signal c00 : bit;
signal c01 : bit;
signal c02 : bit;
signal c03 : bit;
signal c04 : bit;
signal c05 : bit;
signal c06 : bit;
signal c07 : bit;
signal c08 : bit;
signal c09 : bit;
signal over09 : bit;
signal adder09_output_int : bit_vector (09 downto 0);
 
begin
 
c00 <= '0';
over09 <= (addend_09bit (08) xor augend_09bit (08));
adder09_output_int (09) <= ((adder09_output_int (08) and over09) or
(c09 and (not (over09))));
adder09_output <= adder09_output_int;
 
fa08 : fulladder
port map (
addend => addend_09bit(08),
augend => augend_09bit(08),
carry_in => c08,
sum => adder09_output_int(08),
carry => c09
);
 
fa07 : fulladder
port map (
addend => addend_09bit(07),
augend => augend_09bit(07),
carry_in => c07,
sum => adder09_output_int(07),
carry => c08
);
 
fa06 : fulladder
port map (
addend => addend_09bit(06),
augend => augend_09bit(06),
carry_in => c06,
sum => adder09_output_int(06),
carry => c07
);
 
fa05 : fulladder
port map (
addend => addend_09bit(05),
augend => augend_09bit(05),
carry_in => c05,
sum => adder09_output_int(05),
carry => c06
);
 
fa04 : fulladder
port map (
addend => addend_09bit(04),
augend => augend_09bit(04),
carry_in => c04,
sum => adder09_output_int(04),
carry => c05
);
 
fa03 : fulladder
port map (
addend => addend_09bit(03),
augend => augend_09bit(03),
carry_in => c03,
sum => adder09_output_int(03),
carry => c04
);
 
fa02 : fulladder
port map (
addend => addend_09bit(02),
augend => augend_09bit(02),
carry_in => c02,
sum => adder09_output_int(02),
carry => c03
);
 
fa01 : fulladder
port map (
addend => addend_09bit(01),
augend => augend_09bit(01),
carry_in => c01,
sum => adder09_output_int(01),
carry => c02
);
 
fa00 : fulladder
port map (
addend => addend_09bit(00),
augend => augend_09bit(00),
carry_in => c00,
sum => adder09_output_int(00),
carry => c01
);
 
end structural;
/tags/VSFR_1/source/adder_18bit.vhdl
0,0 → 1,232
-- $Id: adder_18bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Adder 18 bit
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : adder_18bit.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/01
-- Last update :
-- Simulators : Modelsim 6.0
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Ripple carry adder 18 bit with output 18 bit
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
entity adder_18bit is
port (
addend_18bit : in bit_vector (17 downto 0);
augend_18bit : in bit_vector (17 downto 0);
adder18_output: out bit_vector (17 downto 0)
);
end adder_18bit;
 
architecture structural of adder_18bit is
 
component fulladder
port (
addend : in bit;
augend : in bit;
carry_in : in bit;
sum : out bit;
carry : out bit
);
end component;
 
-- internal signal
signal c00 : bit;
signal c01 : bit;
signal c02 : bit;
signal c03 : bit;
signal c04 : bit;
signal c05 : bit;
signal c06 : bit;
signal c07 : bit;
signal c08 : bit;
signal c09 : bit;
signal c10 : bit;
signal c11 : bit;
signal c12 : bit;
signal c13 : bit;
signal c14 : bit;
signal c15 : bit;
signal c16 : bit;
signal c17 : bit;
signal c18 : bit;
 
begin
 
c00 <= '0';
 
fa17 : fulladder
port map (
addend => addend_18bit(17),
augend => augend_18bit(17),
carry_in => c17,
sum => adder18_output(17),
carry => c18
);
 
fa16 : fulladder
port map (
addend => addend_18bit(16),
augend => augend_18bit(16),
carry_in => c16,
sum => adder18_output(16),
carry => c17
);
 
fa15 : fulladder
port map (
addend => addend_18bit(15),
augend => augend_18bit(15),
carry_in => c15,
sum => adder18_output(15),
carry => c16
);
 
fa14 : fulladder
port map (
addend => addend_18bit(14),
augend => augend_18bit(14),
carry_in => c14,
sum => adder18_output(14),
carry => c15
);
 
fa13 : fulladder
port map (
addend => addend_18bit(13),
augend => augend_18bit(13),
carry_in => c13,
sum => adder18_output(13),
carry => c14
);
 
fa12 : fulladder
port map (
addend => addend_18bit(12),
augend => augend_18bit(12),
carry_in => c12,
sum => adder18_output(12),
carry => c13
);
 
fa11 : fulladder
port map (
addend => addend_18bit(11),
augend => augend_18bit(11),
carry_in => c11,
sum => adder18_output(11),
carry => c12
);
 
fa10 : fulladder
port map (
addend => addend_18bit(10),
augend => augend_18bit(10),
carry_in => c10,
sum => adder18_output(10),
carry => c11
);
 
fa09 : fulladder
port map (
addend => addend_18bit(09),
augend => augend_18bit(09),
carry_in => c09,
sum => adder18_output(09),
carry => c10
);
 
fa08 : fulladder
port map (
addend => addend_18bit(08),
augend => augend_18bit(08),
carry_in => c08,
sum => adder18_output(08),
carry => c09
);
 
fa07 : fulladder
port map (
addend => addend_18bit(07),
augend => augend_18bit(07),
carry_in => c07,
sum => adder18_output(07),
carry => c08
);
 
fa06 : fulladder
port map (
addend => addend_18bit(06),
augend => augend_18bit(06),
carry_in => c06,
sum => adder18_output(06),
carry => c07
);
 
fa05 : fulladder
port map (
addend => addend_18bit(05),
augend => augend_18bit(05),
carry_in => c05,
sum => adder18_output(05),
carry => c06
);
 
fa04 : fulladder
port map (
addend => addend_18bit(04),
augend => augend_18bit(04),
carry_in => c04,
sum => adder18_output(04),
carry => c05
);
 
fa03 : fulladder
port map (
addend => addend_18bit(03),
augend => augend_18bit(03),
carry_in => c03,
sum => adder18_output(03),
carry => c04
);
 
fa02 : fulladder
port map (
addend => addend_18bit(02),
augend => augend_18bit(02),
carry_in => c02,
sum => adder18_output(02),
carry => c03
);
 
fa01 : fulladder
port map (
addend => addend_18bit(01),
augend => augend_18bit(01),
carry_in => c01,
sum => adder18_output(01),
carry => c02
);
 
fa00 : fulladder
port map (
addend => addend_18bit(00),
augend => augend_18bit(00),
carry_in => c00,
sum => adder18_output(00),
carry => c01
);
 
end structural;
/tags/VSFR_1/source/Makefile.alliance
0,0 → 1,68
# $Id: Makefile.alliance,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
# /*--------------------------------------------------------------\
# | Arif E. Nugroho
# | [20041201] |
# | |
# | Variables |
# | |
# \--------------------------------------------------------------*/
 
ALLIANCE_BIN=$(ALLIANCE_TOP)/bin
VASY = $(ALLIANCE_BIN)/vasy # VHDL Analyzer for synthesis
ASIMUT = $(ALLIANCE_BIN)/asimut # A Simulation tools
BOOM = $(ALLIANCE_BIN)/boom # Boolean Minimization
BOOG = $(ALLIANCE_BIN)/boog # Binding and Optimizing on Gates
LOON = $(ALLIANCE_BIN)/loon # Local optimizer for net
OCP = $(ALLIANCE_BIN)/ocp # Standar cell placer
NERO = $(ALLIANCE_BIN)/nero # Negotiating router
COUGAR = $(ALLIANCE_BIN)/cougar # Hierarchical Netlist Extractor
LVX = $(ALLIANCE_BIN)/lvx # Logical Versus Extracted Netlist
DRUC = $(ALLIANCE_BIN)/druc # Design Rule Checker
S2R = $(ALLIANCE_BIN)/s2r # Process mapping from symbolic layout
# to physical layout
DREAL = $(ALLIANCE_BIN)/dreal # Graphic real layout viewer
GRAAL = $(ALLIANCE_BIN)/graal # Symbolic Layout Editor
XSCH = $(ALLIANCE_BIN)/xsch # Graphical Schematic Viewer
XPAT = $(ALLIANCE_BIN)/xpat # Graphical Pattern Viewer
XFSM = $(ALLIANCE_BIN)/xfsm # Graphical FSM Viewer
 
TOUCH = touch
TARGET_LIB = $(ALLIANCE_TOP)/cells/sxlib
SPI_MODEL = $(ALLIANCE_TOP)/etc/spimodel.cfg
METAL_LEVEL = 2
 
# /*------------------------------------------------------------\
# | |
# | Environment |
# | |
# \------------------------------------------------------------*/
 
fm :
$(VASY) -a -B -o -p -I vhdl -H fm
fm_o : fm
$(BOOM) -V -s fm_model
proof : fm_o fm
proof fm_model fm_model_o
boog : proof
$(BOOG) fm_model_o fm_model_x
loon : boog
$(LOON) -x 0 fm_model_x fm_model_o
flatbeh : loon
flatbeh fm_model_o fm_model_f
proof2 : flatbeh
proof fm_model_f fm_model
ocp : proof2
$(OCP) -v -ring fm_model fm_model_o fm_model_p
nero : ocp
$(NERO) -V -3 -p fm_model_p fm_model_o fm_model_o
druc : nero
$(DRUC) fm_model_o
cougar : druc
$(COUGAR) -v -f fm_model_o fm_model_x
lvx : cougar
$(LVX) vst vst fm_model_o fm_model_x
 
s2r : lvx
$(S2R) -r -v fm_model_o fm_model_o
clean :
rm -v -f *.vhd *.vbe *.boom *.pat *.vst *.xsc *.ap *.cif *.drc
/tags/VSFR_1/source/phase_detector.vhdl
0,0 → 1,61
-- $Id: phase_detector.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Phase detector
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : phase_detector.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/18
-- Last update :
-- Simulators : Modelsim 6.0
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : multiply input signal with signal nco
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
entity phase_detector is
port (
clock : in bit;
signal_input : in bit_vector (07 downto 0);
signal_nco : in bit_vector (07 downto 0);
phase_output : out bit_vector (07 downto 0)
);
end phase_detector;
 
architecture structural of phase_detector is
component mult_8bit
port (
mult_01 : in bit_vector (07 downto 00);
mult_02 : in bit_vector (07 downto 00);
result_mult: out bit_vector (15 downto 00)
);
end component;
signal output_mult : bit_vector (15 downto 0);
 
begin
 
phase_mult: mult_8bit
port map (
mult_01 (07 downto 0) => signal_input,
mult_02 (07 downto 0) => signal_nco,
result_mult (15 downto 0) => output_mult
);
 
process (clock)
begin
if ((clock = '1') and clock'event) then
phase_output <= output_mult(15 downto 8);
end if;
end process;
end structural;
/tags/VSFR_1/source/sub_12bit.vhdl
0,0 → 1,190
-- $Id: sub_12bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title : Subtractor 12 bit
-- Project : FM Receiver
-------------------------------------------------------------------------------
-- File : sub_12bit.vhdl
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2004/12/01
-- Last update :
-- Simulators : Modelsim 6.0
-- Synthesizers:
-- Target :
-------------------------------------------------------------------------------
-- Description : Subtractor 12 bit used in loop filter
-------------------------------------------------------------------------------
-- Copyright (c) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
entity sub_12bit is
port (
addend_12bit : in bit_vector (11 downto 0);
subtrahend_12bit : in bit_vector (11 downto 0);
subtractor12_output : out bit_vector (11 downto 0)
);
end sub_12bit;
 
architecture structural of sub_12bit is
 
component fulladder
port (
addend : in bit;
augend : in bit;
carry_in : in bit;
sum : out bit;
carry : out bit
);
end component;
 
-- internal signal
signal c00 : bit;
signal c01 : bit;
signal c02 : bit;
signal c03 : bit;
signal c04 : bit;
signal c05 : bit;
signal c06 : bit;
signal c07 : bit;
signal c08 : bit;
signal c09 : bit;
signal c10 : bit;
signal c11 : bit;
signal c12 : bit;
signal augend_12bit : bit_vector (11 downto 0);
signal adder12_output : bit_vector (11 downto 0);
 
begin
 
c00 <= '1'; -- add one to get 2's complement
 
-- first complement
augend_12bit (11) <= not (subtrahend_12bit (11));
augend_12bit (10) <= not (subtrahend_12bit (10));
augend_12bit (09) <= not (subtrahend_12bit (09));
augend_12bit (08) <= not (subtrahend_12bit (08));
augend_12bit (07) <= not (subtrahend_12bit (07));
augend_12bit (06) <= not (subtrahend_12bit (06));
augend_12bit (05) <= not (subtrahend_12bit (05));
augend_12bit (04) <= not (subtrahend_12bit (04));
augend_12bit (03) <= not (subtrahend_12bit (03));
augend_12bit (02) <= not (subtrahend_12bit (02));
augend_12bit (01) <= not (subtrahend_12bit (01));
augend_12bit (00) <= not (subtrahend_12bit (00));
 
subtractor12_output <= adder12_output;
 
fa11 : fulladder
port map (
addend => addend_12bit(11),
augend => augend_12bit(11),
carry_in => c11,
sum => adder12_output(11),
carry => c12
);
 
fa10 : fulladder
port map (
addend => addend_12bit(10),
augend => augend_12bit(10),
carry_in => c10,
sum => adder12_output(10),
carry => c11
);
 
fa09 : fulladder
port map (
addend => addend_12bit(09),
augend => augend_12bit(09),
carry_in => c09,
sum => adder12_output(09),
carry => c10
);
 
fa08 : fulladder
port map (
addend => addend_12bit(08),
augend => augend_12bit(08),
carry_in => c08,
sum => adder12_output(08),
carry => c09
);
 
fa07 : fulladder
port map (
addend => addend_12bit(07),
augend => augend_12bit(07),
carry_in => c07,
sum => adder12_output(07),
carry => c08
);
 
fa06 : fulladder
port map (
addend => addend_12bit(06),
augend => augend_12bit(06),
carry_in => c06,
sum => adder12_output(06),
carry => c07
);
 
fa05 : fulladder
port map (
addend => addend_12bit(05),
augend => augend_12bit(05),
carry_in => c05,
sum => adder12_output(05),
carry => c06
);
 
fa04 : fulladder
port map (
addend => addend_12bit(04),
augend => augend_12bit(04),
carry_in => c04,
sum => adder12_output(04),
carry => c05
);
 
fa03 : fulladder
port map (
addend => addend_12bit(03),
augend => augend_12bit(03),
carry_in => c03,
sum => adder12_output(03),
carry => c04
);
 
fa02 : fulladder
port map (
addend => addend_12bit(02),
augend => augend_12bit(02),
carry_in => c02,
sum => adder12_output(02),
carry => c03
);
 
fa01 : fulladder
port map (
addend => addend_12bit(01),
augend => augend_12bit(01),
carry_in => c01,
sum => adder12_output(01),
carry => c02
);
 
fa00 : fulladder
port map (
addend => addend_12bit(00),
augend => augend_12bit(00),
carry_in => c00,
sum => adder12_output(00),
carry => c01
);
 
end structural;
/tags/VSFR_1/source/modelsim_xil.do
0,0 → 1,15
# $Id: modelsim_xil.do,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
# Modelsim do file
destroy .wave;
destroy .list;
vlib work;
vcom fm_timesim.vhd bench_xil.vhdl input_fm_xil.vhdl;
vsim -t 1ps bench;
add wave /bench/clock;
add wave /bench/reset;
add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/fmin
add wave -height 80 -scale 2. -format Analog-Step /bench/myfm/dmout
# force -freeze sim:/bench/clock 1 0, 0 {50 ns} -r 100
# force -freeze sim:/bench/reset 0 0
# run -all
# run 102400ns
tags/VSFR_1/source/modelsim_xil.do Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: tags/VSFR_1/source/rom.vhdl =================================================================== --- tags/VSFR_1/source/rom.vhdl (nonexistent) +++ tags/VSFR_1/source/rom.vhdl (revision 3) @@ -0,0 +1,1068 @@ +-- $Id: rom.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : ROM +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : rom.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/10/22 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : COS ROM (1024 = 2^10 = 10 bit maps) +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity rom is + port ( + address : in bit_vector (9 downto 0); + data : out bit_vector (7 downto 0) + ); +end rom; + +architecture data_flow of rom is +signal rom_out : bit_vector (7 downto 0); +begin + data <= rom_out; + with address (9 downto 0) select + rom_out <= +-- START COS ROM <8,0,t> <10,0,u> + + B"01111111" when B"0000000000", -- INDEX 0 + B"01111111" when B"0000000001", -- INDEX 1 + B"01111111" when B"0000000010", -- INDEX 2 + B"01111111" when B"0000000011", -- INDEX 3 + B"01111111" when B"0000000100", -- INDEX 4 + B"01111111" when B"0000000101", -- INDEX 5 + B"01111111" when B"0000000110", -- INDEX 6 + B"01111111" when B"0000000111", -- INDEX 7 + B"01111111" when B"0000001000", -- INDEX 8 + B"01111111" when B"0000001001", -- INDEX 9 + B"01111111" when B"0000001010", -- INDEX 10 + B"01111111" when B"0000001011", -- INDEX 11 + B"01111111" when B"0000001100", -- INDEX 12 + B"01111111" when B"0000001101", -- INDEX 13 + B"01111111" when B"0000001110", -- INDEX 14 + B"01111111" when B"0000001111", -- INDEX 15 + B"01111111" when B"0000010000", -- INDEX 16 + B"01111111" when B"0000010001", -- INDEX 17 + B"01111111" when B"0000010010", -- INDEX 18 + B"01111111" when B"0000010011", -- INDEX 19 + B"01111111" when B"0000010100", -- INDEX 20 + B"01111111" when B"0000010101", -- INDEX 21 + B"01111111" when B"0000010110", -- INDEX 22 + B"01111111" when B"0000010111", -- INDEX 23 + B"01111111" when B"0000011000", -- INDEX 24 + B"01111110" when B"0000011001", -- INDEX 25 + B"01111110" when B"0000011010", -- INDEX 26 + B"01111110" when B"0000011011", -- INDEX 27 + B"01111110" when B"0000011100", -- INDEX 28 + B"01111110" when B"0000011101", -- INDEX 29 + B"01111110" when B"0000011110", -- INDEX 30 + B"01111110" when B"0000011111", -- INDEX 31 + B"01111110" when B"0000100000", -- INDEX 32 + B"01111101" when B"0000100001", -- INDEX 33 + B"01111101" when B"0000100010", -- INDEX 34 + B"01111101" when B"0000100011", -- INDEX 35 + B"01111101" when B"0000100100", -- INDEX 36 + B"01111101" when B"0000100101", -- INDEX 37 + B"01111101" when B"0000100110", -- INDEX 38 + B"01111100" when B"0000100111", -- INDEX 39 + B"01111100" when B"0000101000", -- INDEX 40 + B"01111100" when B"0000101001", -- INDEX 41 + B"01111100" when B"0000101010", -- INDEX 42 + B"01111100" when B"0000101011", -- INDEX 43 + B"01111011" when B"0000101100", -- INDEX 44 + B"01111011" when B"0000101101", -- INDEX 45 + B"01111011" when B"0000101110", -- INDEX 46 + B"01111011" when B"0000101111", -- INDEX 47 + B"01111010" when B"0000110000", -- INDEX 48 + B"01111010" when B"0000110001", -- INDEX 49 + B"01111010" when B"0000110010", -- INDEX 50 + B"01111010" when B"0000110011", -- INDEX 51 + B"01111010" when B"0000110100", -- INDEX 52 + B"01111001" when B"0000110101", -- INDEX 53 + B"01111001" when B"0000110110", -- INDEX 54 + B"01111001" when B"0000110111", -- INDEX 55 + B"01111001" when B"0000111000", -- INDEX 56 + B"01111000" when B"0000111001", -- INDEX 57 + B"01111000" when B"0000111010", -- INDEX 58 + B"01111000" when B"0000111011", -- INDEX 59 + B"01110111" when B"0000111100", -- INDEX 60 + B"01110111" when B"0000111101", -- INDEX 61 + B"01110111" when B"0000111110", -- INDEX 62 + B"01110111" when B"0000111111", -- INDEX 63 + B"01110110" when B"0001000000", -- INDEX 64 + B"01110110" when B"0001000001", -- INDEX 65 + B"01110110" when B"0001000010", -- INDEX 66 + B"01110101" when B"0001000011", -- INDEX 67 + B"01110101" when B"0001000100", -- INDEX 68 + B"01110101" when B"0001000101", -- INDEX 69 + B"01110100" when B"0001000110", -- INDEX 70 + B"01110100" when B"0001000111", -- INDEX 71 + B"01110100" when B"0001001000", -- INDEX 72 + B"01110011" when B"0001001001", -- INDEX 73 + B"01110011" when B"0001001010", -- INDEX 74 + B"01110011" when B"0001001011", -- INDEX 75 + B"01110010" when B"0001001100", -- INDEX 76 + B"01110010" when B"0001001101", -- INDEX 77 + B"01110010" when B"0001001110", -- INDEX 78 + B"01110001" when B"0001001111", -- INDEX 79 + B"01110001" when B"0001010000", -- INDEX 80 + B"01110001" when B"0001010001", -- INDEX 81 + B"01110000" when B"0001010010", -- INDEX 82 + B"01110000" when B"0001010011", -- INDEX 83 + B"01101111" when B"0001010100", -- INDEX 84 + B"01101111" when B"0001010101", -- INDEX 85 + B"01101111" when B"0001010110", -- INDEX 86 + B"01101110" when B"0001010111", -- INDEX 87 + B"01101110" when B"0001011000", -- INDEX 88 + B"01101101" when B"0001011001", -- INDEX 89 + B"01101101" when B"0001011010", -- INDEX 90 + B"01101101" when B"0001011011", -- INDEX 91 + B"01101100" when B"0001011100", -- INDEX 92 + B"01101100" when B"0001011101", -- INDEX 93 + B"01101011" when B"0001011110", -- INDEX 94 + B"01101011" when B"0001011111", -- INDEX 95 + B"01101010" when B"0001100000", -- INDEX 96 + B"01101010" when B"0001100001", -- INDEX 97 + B"01101010" when B"0001100010", -- INDEX 98 + B"01101001" when B"0001100011", -- INDEX 99 + B"01101001" when B"0001100100", -- INDEX 100 + B"01101000" when B"0001100101", -- INDEX 101 + B"01101000" when B"0001100110", -- INDEX 102 + B"01100111" when B"0001100111", -- INDEX 103 + B"01100111" when B"0001101000", -- INDEX 104 + B"01100110" when B"0001101001", -- INDEX 105 + B"01100110" when B"0001101010", -- INDEX 106 + B"01100101" when B"0001101011", -- INDEX 107 + B"01100101" when B"0001101100", -- INDEX 108 + B"01100100" when B"0001101101", -- INDEX 109 + B"01100100" when B"0001101110", -- INDEX 110 + B"01100011" when B"0001101111", -- INDEX 111 + B"01100011" when B"0001110000", -- INDEX 112 + B"01100010" when B"0001110001", -- INDEX 113 + B"01100010" when B"0001110010", -- INDEX 114 + B"01100001" when B"0001110011", -- INDEX 115 + B"01100001" when B"0001110100", -- INDEX 116 + B"01100000" when B"0001110101", -- INDEX 117 + B"01100000" when B"0001110110", -- INDEX 118 + B"01011111" when B"0001110111", -- INDEX 119 + B"01011111" when B"0001111000", -- INDEX 120 + B"01011110" when B"0001111001", -- INDEX 121 + B"01011110" when B"0001111010", -- INDEX 122 + B"01011101" when B"0001111011", -- INDEX 123 + B"01011101" when B"0001111100", -- INDEX 124 + B"01011100" when B"0001111101", -- INDEX 125 + B"01011100" when B"0001111110", -- INDEX 126 + B"01011011" when B"0001111111", -- INDEX 127 + B"01011011" when B"0010000000", -- INDEX 128 + B"01011010" when B"0010000001", -- INDEX 129 + B"01011001" when B"0010000010", -- INDEX 130 + B"01011001" when B"0010000011", -- INDEX 131 + B"01011000" when B"0010000100", -- INDEX 132 + B"01011000" when B"0010000101", -- INDEX 133 + B"01010111" when B"0010000110", -- INDEX 134 + B"01010111" when B"0010000111", -- INDEX 135 + B"01010110" when B"0010001000", -- INDEX 136 + B"01010101" when B"0010001001", -- INDEX 137 + B"01010101" when B"0010001010", -- INDEX 138 + B"01010100" when B"0010001011", -- INDEX 139 + B"01010100" when B"0010001100", -- INDEX 140 + B"01010011" when B"0010001101", -- INDEX 141 + B"01010010" when B"0010001110", -- INDEX 142 + B"01010010" when B"0010001111", -- INDEX 143 + B"01010001" when B"0010010000", -- INDEX 144 + B"01010001" when B"0010010001", -- INDEX 145 + B"01010000" when B"0010010010", -- INDEX 146 + B"01001111" when B"0010010011", -- INDEX 147 + B"01001111" when B"0010010100", -- INDEX 148 + B"01001110" when B"0010010101", -- INDEX 149 + B"01001110" when B"0010010110", -- INDEX 150 + B"01001101" when B"0010010111", -- INDEX 151 + B"01001100" when B"0010011000", -- INDEX 152 + B"01001100" when B"0010011001", -- INDEX 153 + B"01001011" when B"0010011010", -- INDEX 154 + B"01001010" when B"0010011011", -- INDEX 155 + B"01001010" when B"0010011100", -- INDEX 156 + B"01001001" when B"0010011101", -- INDEX 157 + B"01001000" when B"0010011110", -- INDEX 158 + B"01001000" when B"0010011111", -- INDEX 159 + B"01000111" when B"0010100000", -- INDEX 160 + B"01000111" when B"0010100001", -- INDEX 161 + B"01000110" when B"0010100010", -- INDEX 162 + B"01000101" when B"0010100011", -- INDEX 163 + B"01000101" when B"0010100100", -- INDEX 164 + B"01000100" when B"0010100101", -- INDEX 165 + B"01000011" when B"0010100110", -- INDEX 166 + B"01000011" when B"0010100111", -- INDEX 167 + B"01000010" when B"0010101000", -- INDEX 168 + B"01000001" when B"0010101001", -- INDEX 169 + B"01000001" when B"0010101010", -- INDEX 170 + B"01000000" when B"0010101011", -- INDEX 171 + B"00111111" when B"0010101100", -- INDEX 172 + B"00111110" when B"0010101101", -- INDEX 173 + B"00111110" when B"0010101110", -- INDEX 174 + B"00111101" when B"0010101111", -- INDEX 175 + B"00111100" when B"0010110000", -- INDEX 176 + B"00111100" when B"0010110001", -- INDEX 177 + B"00111011" when B"0010110010", -- INDEX 178 + B"00111010" when B"0010110011", -- INDEX 179 + B"00111010" when B"0010110100", -- INDEX 180 + B"00111001" when B"0010110101", -- INDEX 181 + B"00111000" when B"0010110110", -- INDEX 182 + B"00111000" when B"0010110111", -- INDEX 183 + B"00110111" when B"0010111000", -- INDEX 184 + B"00110110" when B"0010111001", -- INDEX 185 + B"00110101" when B"0010111010", -- INDEX 186 + B"00110101" when B"0010111011", -- INDEX 187 + B"00110100" when B"0010111100", -- INDEX 188 + B"00110011" when B"0010111101", -- INDEX 189 + B"00110011" when B"0010111110", -- INDEX 190 + B"00110010" when B"0010111111", -- INDEX 191 + B"00110001" when B"0011000000", -- INDEX 192 + B"00110000" when B"0011000001", -- INDEX 193 + B"00110000" when B"0011000010", -- INDEX 194 + B"00101111" when B"0011000011", -- INDEX 195 + B"00101110" when B"0011000100", -- INDEX 196 + B"00101101" when B"0011000101", -- INDEX 197 + B"00101101" when B"0011000110", -- INDEX 198 + B"00101100" when B"0011000111", -- INDEX 199 + B"00101011" when B"0011001000", -- INDEX 200 + B"00101010" when B"0011001001", -- INDEX 201 + B"00101010" when B"0011001010", -- INDEX 202 + B"00101001" when B"0011001011", -- INDEX 203 + B"00101000" when B"0011001100", -- INDEX 204 + B"00100111" when B"0011001101", -- INDEX 205 + B"00100111" when B"0011001110", -- INDEX 206 + B"00100110" when B"0011001111", -- INDEX 207 + B"00100101" when B"0011010000", -- INDEX 208 + B"00100100" when B"0011010001", -- INDEX 209 + B"00100100" when B"0011010010", -- INDEX 210 + B"00100011" when B"0011010011", -- INDEX 211 + B"00100010" when B"0011010100", -- INDEX 212 + B"00100001" when B"0011010101", -- INDEX 213 + B"00100001" when B"0011010110", -- INDEX 214 + B"00100000" when B"0011010111", -- INDEX 215 + B"00011111" when B"0011011000", -- INDEX 216 + B"00011110" when B"0011011001", -- INDEX 217 + B"00011110" when B"0011011010", -- INDEX 218 + B"00011101" when B"0011011011", -- INDEX 219 + B"00011100" when B"0011011100", -- INDEX 220 + B"00011011" when B"0011011101", -- INDEX 221 + B"00011011" when B"0011011110", -- INDEX 222 + B"00011010" when B"0011011111", -- INDEX 223 + B"00011001" when B"0011100000", -- INDEX 224 + B"00011000" when B"0011100001", -- INDEX 225 + B"00011000" when B"0011100010", -- INDEX 226 + B"00010111" when B"0011100011", -- INDEX 227 + B"00010110" when B"0011100100", -- INDEX 228 + B"00010101" when B"0011100101", -- INDEX 229 + B"00010100" when B"0011100110", -- INDEX 230 + B"00010100" when B"0011100111", -- INDEX 231 + B"00010011" when B"0011101000", -- INDEX 232 + B"00010010" when B"0011101001", -- INDEX 233 + B"00010001" when B"0011101010", -- INDEX 234 + B"00010001" when B"0011101011", -- INDEX 235 + B"00010000" when B"0011101100", -- INDEX 236 + B"00001111" when B"0011101101", -- INDEX 237 + B"00001110" when B"0011101110", -- INDEX 238 + B"00001101" when B"0011101111", -- INDEX 239 + B"00001101" when B"0011110000", -- INDEX 240 + B"00001100" when B"0011110001", -- INDEX 241 + B"00001011" when B"0011110010", -- INDEX 242 + B"00001010" when B"0011110011", -- INDEX 243 + B"00001010" when B"0011110100", -- INDEX 244 + B"00001001" when B"0011110101", -- INDEX 245 + B"00001000" when B"0011110110", -- INDEX 246 + B"00000111" when B"0011110111", -- INDEX 247 + B"00000110" when B"0011111000", -- INDEX 248 + B"00000110" when B"0011111001", -- INDEX 249 + B"00000101" when B"0011111010", -- INDEX 250 + B"00000100" when B"0011111011", -- INDEX 251 + B"00000011" when B"0011111100", -- INDEX 252 + B"00000010" when B"0011111101", -- INDEX 253 + B"00000010" when B"0011111110", -- INDEX 254 + B"00000001" when B"0011111111", -- INDEX 255 + B"00000000" when B"0100000000", -- INDEX 256 + B"11111111" when B"0100000001", -- INDEX 257 + B"11111111" when B"0100000010", -- INDEX 258 + B"11111110" when B"0100000011", -- INDEX 259 + B"11111101" when B"0100000100", -- INDEX 260 + B"11111100" when B"0100000101", -- INDEX 261 + B"11111011" when B"0100000110", -- INDEX 262 + B"11111011" when B"0100000111", -- INDEX 263 + B"11111010" when B"0100001000", -- INDEX 264 + B"11111001" when B"0100001001", -- INDEX 265 + B"11111000" when B"0100001010", -- INDEX 266 + B"11110111" when B"0100001011", -- INDEX 267 + B"11110111" when B"0100001100", -- INDEX 268 + B"11110110" when B"0100001101", -- INDEX 269 + B"11110101" when B"0100001110", -- INDEX 270 + B"11110100" when B"0100001111", -- INDEX 271 + B"11110100" when B"0100010000", -- INDEX 272 + B"11110011" when B"0100010001", -- INDEX 273 + B"11110010" when B"0100010010", -- INDEX 274 + B"11110001" when B"0100010011", -- INDEX 275 + B"11110000" when B"0100010100", -- INDEX 276 + B"11110000" when B"0100010101", -- INDEX 277 + B"11101111" when B"0100010110", -- INDEX 278 + B"11101110" when B"0100010111", -- INDEX 279 + B"11101101" when B"0100011000", -- INDEX 280 + B"11101101" when B"0100011001", -- INDEX 281 + B"11101100" when B"0100011010", -- INDEX 282 + B"11101011" when B"0100011011", -- INDEX 283 + B"11101010" when B"0100011100", -- INDEX 284 + B"11101001" when B"0100011101", -- INDEX 285 + B"11101001" when B"0100011110", -- INDEX 286 + B"11101000" when B"0100011111", -- INDEX 287 + B"11100111" when B"0100100000", -- INDEX 288 + B"11100110" when B"0100100001", -- INDEX 289 + B"11100110" when B"0100100010", -- INDEX 290 + B"11100101" when B"0100100011", -- INDEX 291 + B"11100100" when B"0100100100", -- INDEX 292 + B"11100011" when B"0100100101", -- INDEX 293 + B"11100011" when B"0100100110", -- INDEX 294 + B"11100010" when B"0100100111", -- INDEX 295 + B"11100001" when B"0100101000", -- INDEX 296 + B"11100000" when B"0100101001", -- INDEX 297 + B"11011111" when B"0100101010", -- INDEX 298 + B"11011111" when B"0100101011", -- INDEX 299 + B"11011110" when B"0100101100", -- INDEX 300 + B"11011101" when B"0100101101", -- INDEX 301 + B"11011100" when B"0100101110", -- INDEX 302 + B"11011100" when B"0100101111", -- INDEX 303 + B"11011011" when B"0100110000", -- INDEX 304 + B"11011010" when B"0100110001", -- INDEX 305 + B"11011001" when B"0100110010", -- INDEX 306 + B"11011001" when B"0100110011", -- INDEX 307 + B"11011000" when B"0100110100", -- INDEX 308 + B"11010111" when B"0100110101", -- INDEX 309 + B"11010110" when B"0100110110", -- INDEX 310 + B"11010110" when B"0100110111", -- INDEX 311 + B"11010101" when B"0100111000", -- INDEX 312 + B"11010100" when B"0100111001", -- INDEX 313 + B"11010100" when B"0100111010", -- INDEX 314 + B"11010011" when B"0100111011", -- INDEX 315 + B"11010010" when B"0100111100", -- INDEX 316 + B"11010001" when B"0100111101", -- INDEX 317 + B"11010001" when B"0100111110", -- INDEX 318 + B"11010000" when B"0100111111", -- INDEX 319 + B"11001111" when B"0101000000", -- INDEX 320 + B"11001110" when B"0101000001", -- INDEX 321 + B"11001110" when B"0101000010", -- INDEX 322 + B"11001101" when B"0101000011", -- INDEX 323 + B"11001100" when B"0101000100", -- INDEX 324 + B"11001100" when B"0101000101", -- INDEX 325 + B"11001011" when B"0101000110", -- INDEX 326 + B"11001010" when B"0101000111", -- INDEX 327 + B"11001001" when B"0101001000", -- INDEX 328 + B"11001001" when B"0101001001", -- INDEX 329 + B"11001000" when B"0101001010", -- INDEX 330 + B"11000111" when B"0101001011", -- INDEX 331 + B"11000111" when B"0101001100", -- INDEX 332 + B"11000110" when B"0101001101", -- INDEX 333 + B"11000101" when B"0101001110", -- INDEX 334 + B"11000100" when B"0101001111", -- INDEX 335 + B"11000100" when B"0101010000", -- INDEX 336 + B"11000011" when B"0101010001", -- INDEX 337 + B"11000010" when B"0101010010", -- INDEX 338 + B"11000010" when B"0101010011", -- INDEX 339 + B"11000001" when B"0101010100", -- INDEX 340 + B"11000000" when B"0101010101", -- INDEX 341 + B"11000000" when B"0101010110", -- INDEX 342 + B"10111111" when B"0101010111", -- INDEX 343 + B"10111110" when B"0101011000", -- INDEX 344 + B"10111110" when B"0101011001", -- INDEX 345 + B"10111101" when B"0101011010", -- INDEX 346 + B"10111100" when B"0101011011", -- INDEX 347 + B"10111100" when B"0101011100", -- INDEX 348 + B"10111011" when B"0101011101", -- INDEX 349 + B"10111010" when B"0101011110", -- INDEX 350 + B"10111010" when B"0101011111", -- INDEX 351 + B"10111001" when B"0101100000", -- INDEX 352 + B"10111000" when B"0101100001", -- INDEX 353 + B"10111000" when B"0101100010", -- INDEX 354 + B"10110111" when B"0101100011", -- INDEX 355 + B"10110110" when B"0101100100", -- INDEX 356 + B"10110110" when B"0101100101", -- INDEX 357 + B"10110101" when B"0101100110", -- INDEX 358 + B"10110100" when B"0101100111", -- INDEX 359 + B"10110100" when B"0101101000", -- INDEX 360 + B"10110011" when B"0101101001", -- INDEX 361 + B"10110011" when B"0101101010", -- INDEX 362 + B"10110010" when B"0101101011", -- INDEX 363 + B"10110001" when B"0101101100", -- INDEX 364 + B"10110001" when B"0101101101", -- INDEX 365 + B"10110000" when B"0101101110", -- INDEX 366 + B"10110000" when B"0101101111", -- INDEX 367 + B"10101111" when B"0101110000", -- INDEX 368 + B"10101110" when B"0101110001", -- INDEX 369 + B"10101110" when B"0101110010", -- INDEX 370 + B"10101101" when B"0101110011", -- INDEX 371 + B"10101101" when B"0101110100", -- INDEX 372 + B"10101100" when B"0101110101", -- INDEX 373 + B"10101011" when B"0101110110", -- INDEX 374 + B"10101011" when B"0101110111", -- INDEX 375 + B"10101010" when B"0101111000", -- INDEX 376 + B"10101010" when B"0101111001", -- INDEX 377 + B"10101001" when B"0101111010", -- INDEX 378 + B"10101000" when B"0101111011", -- INDEX 379 + B"10101000" when B"0101111100", -- INDEX 380 + B"10100111" when B"0101111101", -- INDEX 381 + B"10100111" when B"0101111110", -- INDEX 382 + B"10100110" when B"0101111111", -- INDEX 383 + B"10100110" when B"0110000000", -- INDEX 384 + B"10100101" when B"0110000001", -- INDEX 385 + B"10100100" when B"0110000010", -- INDEX 386 + B"10100100" when B"0110000011", -- INDEX 387 + B"10100011" when B"0110000100", -- INDEX 388 + B"10100011" when B"0110000101", -- INDEX 389 + B"10100010" when B"0110000110", -- INDEX 390 + B"10100010" when B"0110000111", -- INDEX 391 + B"10100001" when B"0110001000", -- INDEX 392 + B"10100001" when B"0110001001", -- INDEX 393 + B"10100000" when B"0110001010", -- INDEX 394 + B"10100000" when B"0110001011", -- INDEX 395 + B"10011111" when B"0110001100", -- INDEX 396 + B"10011111" when B"0110001101", -- INDEX 397 + B"10011110" when B"0110001110", -- INDEX 398 + B"10011110" when B"0110001111", -- INDEX 399 + B"10011101" when B"0110010000", -- INDEX 400 + B"10011101" when B"0110010001", -- INDEX 401 + B"10011100" when B"0110010010", -- INDEX 402 + B"10011100" when B"0110010011", -- INDEX 403 + B"10011011" when B"0110010100", -- INDEX 404 + B"10011011" when B"0110010101", -- INDEX 405 + B"10011010" when B"0110010110", -- INDEX 406 + B"10011010" when B"0110010111", -- INDEX 407 + B"10011001" when B"0110011000", -- INDEX 408 + B"10011001" when B"0110011001", -- INDEX 409 + B"10011000" when B"0110011010", -- INDEX 410 + B"10011000" when B"0110011011", -- INDEX 411 + B"10010111" when B"0110011100", -- INDEX 412 + B"10010111" when B"0110011101", -- INDEX 413 + B"10010111" when B"0110011110", -- INDEX 414 + B"10010110" when B"0110011111", -- INDEX 415 + B"10010110" when B"0110100000", -- INDEX 416 + B"10010101" when B"0110100001", -- INDEX 417 + B"10010101" when B"0110100010", -- INDEX 418 + B"10010100" when B"0110100011", -- INDEX 419 + B"10010100" when B"0110100100", -- INDEX 420 + B"10010100" when B"0110100101", -- INDEX 421 + B"10010011" when B"0110100110", -- INDEX 422 + B"10010011" when B"0110100111", -- INDEX 423 + B"10010010" when B"0110101000", -- INDEX 424 + B"10010010" when B"0110101001", -- INDEX 425 + B"10010001" when B"0110101010", -- INDEX 426 + B"10010001" when B"0110101011", -- INDEX 427 + B"10010001" when B"0110101100", -- INDEX 428 + B"10010000" when B"0110101101", -- INDEX 429 + B"10010000" when B"0110101110", -- INDEX 430 + B"10010000" when B"0110101111", -- INDEX 431 + B"10001111" when B"0110110000", -- INDEX 432 + B"10001111" when B"0110110001", -- INDEX 433 + B"10001110" when B"0110110010", -- INDEX 434 + B"10001110" when B"0110110011", -- INDEX 435 + B"10001110" when B"0110110100", -- INDEX 436 + B"10001101" when B"0110110101", -- INDEX 437 + B"10001101" when B"0110110110", -- INDEX 438 + B"10001101" when B"0110110111", -- INDEX 439 + B"10001100" when B"0110111000", -- INDEX 440 + B"10001100" when B"0110111001", -- INDEX 441 + B"10001100" when B"0110111010", -- INDEX 442 + B"10001011" when B"0110111011", -- INDEX 443 + B"10001011" when B"0110111100", -- INDEX 444 + B"10001011" when B"0110111101", -- INDEX 445 + B"10001010" when B"0110111110", -- INDEX 446 + B"10001010" when B"0110111111", -- INDEX 447 + B"10001010" when B"0111000000", -- INDEX 448 + B"10001010" when B"0111000001", -- INDEX 449 + B"10001001" when B"0111000010", -- INDEX 450 + B"10001001" when B"0111000011", -- INDEX 451 + B"10001001" when B"0111000100", -- INDEX 452 + B"10001000" when B"0111000101", -- INDEX 453 + B"10001000" when B"0111000110", -- INDEX 454 + B"10001000" when B"0111000111", -- INDEX 455 + B"10001000" when B"0111001000", -- INDEX 456 + B"10000111" when B"0111001001", -- INDEX 457 + B"10000111" when B"0111001010", -- INDEX 458 + B"10000111" when B"0111001011", -- INDEX 459 + B"10000111" when B"0111001100", -- INDEX 460 + B"10000110" when B"0111001101", -- INDEX 461 + B"10000110" when B"0111001110", -- INDEX 462 + B"10000110" when B"0111001111", -- INDEX 463 + B"10000110" when B"0111010000", -- INDEX 464 + B"10000101" when B"0111010001", -- INDEX 465 + B"10000101" when B"0111010010", -- INDEX 466 + B"10000101" when B"0111010011", -- INDEX 467 + B"10000101" when B"0111010100", -- INDEX 468 + B"10000100" when B"0111010101", -- INDEX 469 + B"10000100" when B"0111010110", -- INDEX 470 + B"10000100" when B"0111010111", -- INDEX 471 + B"10000100" when B"0111011000", -- INDEX 472 + B"10000100" when B"0111011001", -- INDEX 473 + B"10000100" when B"0111011010", -- INDEX 474 + B"10000011" when B"0111011011", -- INDEX 475 + B"10000011" when B"0111011100", -- INDEX 476 + B"10000011" when B"0111011101", -- INDEX 477 + B"10000011" when B"0111011110", -- INDEX 478 + B"10000011" when B"0111011111", -- INDEX 479 + B"10000010" when B"0111100000", -- INDEX 480 + B"10000010" when B"0111100001", -- INDEX 481 + B"10000010" when B"0111100010", -- INDEX 482 + B"10000010" when B"0111100011", -- INDEX 483 + B"10000010" when B"0111100100", -- INDEX 484 + B"10000010" when B"0111100101", -- INDEX 485 + B"10000010" when B"0111100110", -- INDEX 486 + B"10000010" when B"0111100111", -- INDEX 487 + B"10000001" when B"0111101000", -- INDEX 488 + B"10000001" when B"0111101001", -- INDEX 489 + B"10000001" when B"0111101010", -- INDEX 490 + B"10000001" when B"0111101011", -- INDEX 491 + B"10000001" when B"0111101100", -- INDEX 492 + B"10000001" when B"0111101101", -- INDEX 493 + B"10000001" when B"0111101110", -- INDEX 494 + B"10000001" when B"0111101111", -- INDEX 495 + B"10000001" when B"0111110000", -- INDEX 496 + B"10000001" when B"0111110001", -- INDEX 497 + B"10000000" when B"0111110010", -- INDEX 498 + B"10000000" when B"0111110011", -- INDEX 499 + B"10000000" when B"0111110100", -- INDEX 500 + B"10000000" when B"0111110101", -- INDEX 501 + B"10000000" when B"0111110110", -- INDEX 502 + B"10000000" when B"0111110111", -- INDEX 503 + B"10000000" when B"0111111000", -- INDEX 504 + B"10000000" when B"0111111001", -- INDEX 505 + B"10000000" when B"0111111010", -- INDEX 506 + B"10000000" when B"0111111011", -- INDEX 507 + B"10000000" when B"0111111100", -- INDEX 508 + B"10000000" when B"0111111101", -- INDEX 509 + B"10000000" when B"0111111110", -- INDEX 510 + B"10000000" when B"0111111111", -- INDEX 511 + B"10000000" when B"1000000000", -- INDEX 512 + B"10000000" when B"1000000001", -- INDEX 513 + B"10000000" when B"1000000010", -- INDEX 514 + B"10000000" when B"1000000011", -- INDEX 515 + B"10000000" when B"1000000100", -- INDEX 516 + B"10000000" when B"1000000101", -- INDEX 517 + B"10000000" when B"1000000110", -- INDEX 518 + B"10000000" when B"1000000111", -- INDEX 519 + B"10000000" when B"1000001000", -- INDEX 520 + B"10000000" when B"1000001001", -- INDEX 521 + B"10000000" when B"1000001010", -- INDEX 522 + B"10000000" when B"1000001011", -- INDEX 523 + B"10000000" when B"1000001100", -- INDEX 524 + B"10000000" when B"1000001101", -- INDEX 525 + B"10000000" when B"1000001110", -- INDEX 526 + B"10000001" when B"1000001111", -- INDEX 527 + B"10000001" when B"1000010000", -- INDEX 528 + B"10000001" when B"1000010001", -- INDEX 529 + B"10000001" when B"1000010010", -- INDEX 530 + B"10000001" when B"1000010011", -- INDEX 531 + B"10000001" when B"1000010100", -- INDEX 532 + B"10000001" when B"1000010101", -- INDEX 533 + B"10000001" when B"1000010110", -- INDEX 534 + B"10000001" when B"1000010111", -- INDEX 535 + B"10000001" when B"1000011000", -- INDEX 536 + B"10000001" when B"1000011001", -- INDEX 537 + B"10000010" when B"1000011010", -- INDEX 538 + B"10000010" when B"1000011011", -- INDEX 539 + B"10000010" when B"1000011100", -- INDEX 540 + B"10000010" when B"1000011101", -- INDEX 541 + B"10000010" when B"1000011110", -- INDEX 542 + B"10000010" when B"1000011111", -- INDEX 543 + B"10000010" when B"1000100000", -- INDEX 544 + B"10000011" when B"1000100001", -- INDEX 545 + B"10000011" when B"1000100010", -- INDEX 546 + B"10000011" when B"1000100011", -- INDEX 547 + B"10000011" when B"1000100100", -- INDEX 548 + B"10000011" when B"1000100101", -- INDEX 549 + B"10000011" when B"1000100110", -- INDEX 550 + B"10000100" when B"1000100111", -- INDEX 551 + B"10000100" when B"1000101000", -- INDEX 552 + B"10000100" when B"1000101001", -- INDEX 553 + B"10000100" when B"1000101010", -- INDEX 554 + B"10000100" when B"1000101011", -- INDEX 555 + B"10000101" when B"1000101100", -- INDEX 556 + B"10000101" when B"1000101101", -- INDEX 557 + B"10000101" when B"1000101110", -- INDEX 558 + B"10000101" when B"1000101111", -- INDEX 559 + B"10000101" when B"1000110000", -- INDEX 560 + B"10000110" when B"1000110001", -- INDEX 561 + B"10000110" when B"1000110010", -- INDEX 562 + B"10000110" when B"1000110011", -- INDEX 563 + B"10000110" when B"1000110100", -- INDEX 564 + B"10000111" when B"1000110101", -- INDEX 565 + B"10000111" when B"1000110110", -- INDEX 566 + B"10000111" when B"1000110111", -- INDEX 567 + B"10000111" when B"1000111000", -- INDEX 568 + B"10001000" when B"1000111001", -- INDEX 569 + B"10001000" when B"1000111010", -- INDEX 570 + B"10001000" when B"1000111011", -- INDEX 571 + B"10001000" when B"1000111100", -- INDEX 572 + B"10001001" when B"1000111101", -- INDEX 573 + B"10001001" when B"1000111110", -- INDEX 574 + B"10001001" when B"1000111111", -- INDEX 575 + B"10001010" when B"1001000000", -- INDEX 576 + B"10001010" when B"1001000001", -- INDEX 577 + B"10001010" when B"1001000010", -- INDEX 578 + B"10001011" when B"1001000011", -- INDEX 579 + B"10001011" when B"1001000100", -- INDEX 580 + B"10001011" when B"1001000101", -- INDEX 581 + B"10001100" when B"1001000110", -- INDEX 582 + B"10001100" when B"1001000111", -- INDEX 583 + B"10001100" when B"1001001000", -- INDEX 584 + B"10001101" when B"1001001001", -- INDEX 585 + B"10001101" when B"1001001010", -- INDEX 586 + B"10001101" when B"1001001011", -- INDEX 587 + B"10001110" when B"1001001100", -- INDEX 588 + B"10001110" when B"1001001101", -- INDEX 589 + B"10001110" when B"1001001110", -- INDEX 590 + B"10001111" when B"1001001111", -- INDEX 591 + B"10001111" when B"1001010000", -- INDEX 592 + B"10001111" when B"1001010001", -- INDEX 593 + B"10010000" when B"1001010010", -- INDEX 594 + B"10010000" when B"1001010011", -- INDEX 595 + B"10010001" when B"1001010100", -- INDEX 596 + B"10010001" when B"1001010101", -- INDEX 597 + B"10010001" when B"1001010110", -- INDEX 598 + B"10010010" when B"1001010111", -- INDEX 599 + B"10010010" when B"1001011000", -- INDEX 600 + B"10010010" when B"1001011001", -- INDEX 601 + B"10010011" when B"1001011010", -- INDEX 602 + B"10010011" when B"1001011011", -- INDEX 603 + B"10010100" when B"1001011100", -- INDEX 604 + B"10010100" when B"1001011101", -- INDEX 605 + B"10010101" when B"1001011110", -- INDEX 606 + B"10010101" when B"1001011111", -- INDEX 607 + B"10010101" when B"1001100000", -- INDEX 608 + B"10010110" when B"1001100001", -- INDEX 609 + B"10010110" when B"1001100010", -- INDEX 610 + B"10010111" when B"1001100011", -- INDEX 611 + B"10010111" when B"1001100100", -- INDEX 612 + B"10011000" when B"1001100101", -- INDEX 613 + B"10011000" when B"1001100110", -- INDEX 614 + B"10011001" when B"1001100111", -- INDEX 615 + B"10011001" when B"1001101000", -- INDEX 616 + B"10011010" when B"1001101001", -- INDEX 617 + B"10011010" when B"1001101010", -- INDEX 618 + B"10011010" when B"1001101011", -- INDEX 619 + B"10011011" when B"1001101100", -- INDEX 620 + B"10011011" when B"1001101101", -- INDEX 621 + B"10011100" when B"1001101110", -- INDEX 622 + B"10011100" when B"1001101111", -- INDEX 623 + B"10011101" when B"1001110000", -- INDEX 624 + B"10011101" when B"1001110001", -- INDEX 625 + B"10011110" when B"1001110010", -- INDEX 626 + B"10011110" when B"1001110011", -- INDEX 627 + B"10011111" when B"1001110100", -- INDEX 628 + B"10011111" when B"1001110101", -- INDEX 629 + B"10100000" when B"1001110110", -- INDEX 630 + B"10100000" when B"1001110111", -- INDEX 631 + B"10100001" when B"1001111000", -- INDEX 632 + B"10100010" when B"1001111001", -- INDEX 633 + B"10100010" when B"1001111010", -- INDEX 634 + B"10100011" when B"1001111011", -- INDEX 635 + B"10100011" when B"1001111100", -- INDEX 636 + B"10100100" when B"1001111101", -- INDEX 637 + B"10100100" when B"1001111110", -- INDEX 638 + B"10100101" when B"1001111111", -- INDEX 639 + B"10100101" when B"1010000000", -- INDEX 640 + B"10100110" when B"1010000001", -- INDEX 641 + B"10100110" when B"1010000010", -- INDEX 642 + B"10100111" when B"1010000011", -- INDEX 643 + B"10101000" when B"1010000100", -- INDEX 644 + B"10101000" when B"1010000101", -- INDEX 645 + B"10101001" when B"1010000110", -- INDEX 646 + B"10101001" when B"1010000111", -- INDEX 647 + B"10101010" when B"1010001000", -- INDEX 648 + B"10101010" when B"1010001001", -- INDEX 649 + B"10101011" when B"1010001010", -- INDEX 650 + B"10101100" when B"1010001011", -- INDEX 651 + B"10101100" when B"1010001100", -- INDEX 652 + B"10101101" when B"1010001101", -- INDEX 653 + B"10101101" when B"1010001110", -- INDEX 654 + B"10101110" when B"1010001111", -- INDEX 655 + B"10101111" when B"1010010000", -- INDEX 656 + B"10101111" when B"1010010001", -- INDEX 657 + B"10110000" when B"1010010010", -- INDEX 658 + B"10110000" when B"1010010011", -- INDEX 659 + B"10110001" when B"1010010100", -- INDEX 660 + B"10110010" when B"1010010101", -- INDEX 661 + B"10110010" when B"1010010110", -- INDEX 662 + B"10110011" when B"1010010111", -- INDEX 663 + B"10110100" when B"1010011000", -- INDEX 664 + B"10110100" when B"1010011001", -- INDEX 665 + B"10110101" when B"1010011010", -- INDEX 666 + B"10110101" when B"1010011011", -- INDEX 667 + B"10110110" when B"1010011100", -- INDEX 668 + B"10110111" when B"1010011101", -- INDEX 669 + B"10110111" when B"1010011110", -- INDEX 670 + B"10111000" when B"1010011111", -- INDEX 671 + B"10111001" when B"1010100000", -- INDEX 672 + B"10111001" when B"1010100001", -- INDEX 673 + B"10111010" when B"1010100010", -- INDEX 674 + B"10111011" when B"1010100011", -- INDEX 675 + B"10111011" when B"1010100100", -- INDEX 676 + B"10111100" when B"1010100101", -- INDEX 677 + B"10111101" when B"1010100110", -- INDEX 678 + B"10111101" when B"1010100111", -- INDEX 679 + B"10111110" when B"1010101000", -- INDEX 680 + B"10111111" when B"1010101001", -- INDEX 681 + B"10111111" when B"1010101010", -- INDEX 682 + B"11000000" when B"1010101011", -- INDEX 683 + B"11000001" when B"1010101100", -- INDEX 684 + B"11000001" when B"1010101101", -- INDEX 685 + B"11000010" when B"1010101110", -- INDEX 686 + B"11000011" when B"1010101111", -- INDEX 687 + B"11000011" when B"1010110000", -- INDEX 688 + B"11000100" when B"1010110001", -- INDEX 689 + B"11000101" when B"1010110010", -- INDEX 690 + B"11000110" when B"1010110011", -- INDEX 691 + B"11000110" when B"1010110100", -- INDEX 692 + B"11000111" when B"1010110101", -- INDEX 693 + B"11001000" when B"1010110110", -- INDEX 694 + B"11001000" when B"1010110111", -- INDEX 695 + B"11001001" when B"1010111000", -- INDEX 696 + B"11001010" when B"1010111001", -- INDEX 697 + B"11001010" when B"1010111010", -- INDEX 698 + B"11001011" when B"1010111011", -- INDEX 699 + B"11001100" when B"1010111100", -- INDEX 700 + B"11001101" when B"1010111101", -- INDEX 701 + B"11001101" when B"1010111110", -- INDEX 702 + B"11001110" when B"1010111111", -- INDEX 703 + B"11001111" when B"1011000000", -- INDEX 704 + B"11001111" when B"1011000001", -- INDEX 705 + B"11010000" when B"1011000010", -- INDEX 706 + B"11010001" when B"1011000011", -- INDEX 707 + B"11010010" when B"1011000100", -- INDEX 708 + B"11010010" when B"1011000101", -- INDEX 709 + B"11010011" when B"1011000110", -- INDEX 710 + B"11010100" when B"1011000111", -- INDEX 711 + B"11010101" when B"1011001000", -- INDEX 712 + B"11010101" when B"1011001001", -- INDEX 713 + B"11010110" when B"1011001010", -- INDEX 714 + B"11010111" when B"1011001011", -- INDEX 715 + B"11011000" when B"1011001100", -- INDEX 716 + B"11011000" when B"1011001101", -- INDEX 717 + B"11011001" when B"1011001110", -- INDEX 718 + B"11011010" when B"1011001111", -- INDEX 719 + B"11011011" when B"1011010000", -- INDEX 720 + B"11011011" when B"1011010001", -- INDEX 721 + B"11011100" when B"1011010010", -- INDEX 722 + B"11011101" when B"1011010011", -- INDEX 723 + B"11011110" when B"1011010100", -- INDEX 724 + B"11011110" when B"1011010101", -- INDEX 725 + B"11011111" when B"1011010110", -- INDEX 726 + B"11100000" when B"1011010111", -- INDEX 727 + B"11100001" when B"1011011000", -- INDEX 728 + B"11100001" when B"1011011001", -- INDEX 729 + B"11100010" when B"1011011010", -- INDEX 730 + B"11100011" when B"1011011011", -- INDEX 731 + B"11100100" when B"1011011100", -- INDEX 732 + B"11100100" when B"1011011101", -- INDEX 733 + B"11100101" when B"1011011110", -- INDEX 734 + B"11100110" when B"1011011111", -- INDEX 735 + B"11100111" when B"1011100000", -- INDEX 736 + B"11101000" when B"1011100001", -- INDEX 737 + B"11101000" when B"1011100010", -- INDEX 738 + B"11101001" when B"1011100011", -- INDEX 739 + B"11101010" when B"1011100100", -- INDEX 740 + B"11101011" when B"1011100101", -- INDEX 741 + B"11101011" when B"1011100110", -- INDEX 742 + B"11101100" when B"1011100111", -- INDEX 743 + B"11101101" when B"1011101000", -- INDEX 744 + B"11101110" when B"1011101001", -- INDEX 745 + B"11101110" when B"1011101010", -- INDEX 746 + B"11101111" when B"1011101011", -- INDEX 747 + B"11110000" when B"1011101100", -- INDEX 748 + B"11110001" when B"1011101101", -- INDEX 749 + B"11110010" when B"1011101110", -- INDEX 750 + B"11110010" when B"1011101111", -- INDEX 751 + B"11110011" when B"1011110000", -- INDEX 752 + B"11110100" when B"1011110001", -- INDEX 753 + B"11110101" when B"1011110010", -- INDEX 754 + B"11110110" when B"1011110011", -- INDEX 755 + B"11110110" when B"1011110100", -- INDEX 756 + B"11110111" when B"1011110101", -- INDEX 757 + B"11111000" when B"1011110110", -- INDEX 758 + B"11111001" when B"1011110111", -- INDEX 759 + B"11111001" when B"1011111000", -- INDEX 760 + B"11111010" when B"1011111001", -- INDEX 761 + B"11111011" when B"1011111010", -- INDEX 762 + B"11111100" when B"1011111011", -- INDEX 763 + B"11111101" when B"1011111100", -- INDEX 764 + B"11111101" when B"1011111101", -- INDEX 765 + B"11111110" when B"1011111110", -- INDEX 766 + B"11111111" when B"1011111111", -- INDEX 767 + B"00000000" when B"1100000000", -- INDEX 768 + B"00000000" when B"1100000001", -- INDEX 769 + B"00000001" when B"1100000010", -- INDEX 770 + B"00000010" when B"1100000011", -- INDEX 771 + B"00000011" when B"1100000100", -- INDEX 772 + B"00000100" when B"1100000101", -- INDEX 773 + B"00000100" when B"1100000110", -- INDEX 774 + B"00000101" when B"1100000111", -- INDEX 775 + B"00000110" when B"1100001000", -- INDEX 776 + B"00000111" when B"1100001001", -- INDEX 777 + B"00001000" when B"1100001010", -- INDEX 778 + B"00001000" when B"1100001011", -- INDEX 779 + B"00001001" when B"1100001100", -- INDEX 780 + B"00001010" when B"1100001101", -- INDEX 781 + B"00001011" when B"1100001110", -- INDEX 782 + B"00001011" when B"1100001111", -- INDEX 783 + B"00001100" when B"1100010000", -- INDEX 784 + B"00001101" when B"1100010001", -- INDEX 785 + B"00001110" when B"1100010010", -- INDEX 786 + B"00001111" when B"1100010011", -- INDEX 787 + B"00001111" when B"1100010100", -- INDEX 788 + B"00010000" when B"1100010101", -- INDEX 789 + B"00010001" when B"1100010110", -- INDEX 790 + B"00010010" when B"1100010111", -- INDEX 791 + B"00010010" when B"1100011000", -- INDEX 792 + B"00010011" when B"1100011001", -- INDEX 793 + B"00010100" when B"1100011010", -- INDEX 794 + B"00010101" when B"1100011011", -- INDEX 795 + B"00010110" when B"1100011100", -- INDEX 796 + B"00010110" when B"1100011101", -- INDEX 797 + B"00010111" when B"1100011110", -- INDEX 798 + B"00011000" when B"1100011111", -- INDEX 799 + B"00011001" when B"1100100000", -- INDEX 800 + B"00011001" when B"1100100001", -- INDEX 801 + B"00011010" when B"1100100010", -- INDEX 802 + B"00011011" when B"1100100011", -- INDEX 803 + B"00011100" when B"1100100100", -- INDEX 804 + B"00011100" when B"1100100101", -- INDEX 805 + B"00011101" when B"1100100110", -- INDEX 806 + B"00011110" when B"1100100111", -- INDEX 807 + B"00011111" when B"1100101000", -- INDEX 808 + B"00100000" when B"1100101001", -- INDEX 809 + B"00100000" when B"1100101010", -- INDEX 810 + B"00100001" when B"1100101011", -- INDEX 811 + B"00100010" when B"1100101100", -- INDEX 812 + B"00100011" when B"1100101101", -- INDEX 813 + B"00100011" when B"1100101110", -- INDEX 814 + B"00100100" when B"1100101111", -- INDEX 815 + B"00100101" when B"1100110000", -- INDEX 816 + B"00100110" when B"1100110001", -- INDEX 817 + B"00100110" when B"1100110010", -- INDEX 818 + B"00100111" when B"1100110011", -- INDEX 819 + B"00101000" when B"1100110100", -- INDEX 820 + B"00101001" when B"1100110101", -- INDEX 821 + B"00101001" when B"1100110110", -- INDEX 822 + B"00101010" when B"1100110111", -- INDEX 823 + B"00101011" when B"1100111000", -- INDEX 824 + B"00101100" when B"1100111001", -- INDEX 825 + B"00101100" when B"1100111010", -- INDEX 826 + B"00101101" when B"1100111011", -- INDEX 827 + B"00101110" when B"1100111100", -- INDEX 828 + B"00101110" when B"1100111101", -- INDEX 829 + B"00101111" when B"1100111110", -- INDEX 830 + B"00110000" when B"1100111111", -- INDEX 831 + B"00110001" when B"1101000000", -- INDEX 832 + B"00110001" when B"1101000001", -- INDEX 833 + B"00110010" when B"1101000010", -- INDEX 834 + B"00110011" when B"1101000011", -- INDEX 835 + B"00110100" when B"1101000100", -- INDEX 836 + B"00110100" when B"1101000101", -- INDEX 837 + B"00110101" when B"1101000110", -- INDEX 838 + B"00110110" when B"1101000111", -- INDEX 839 + B"00110110" when B"1101001000", -- INDEX 840 + B"00110111" when B"1101001001", -- INDEX 841 + B"00111000" when B"1101001010", -- INDEX 842 + B"00111001" when B"1101001011", -- INDEX 843 + B"00111001" when B"1101001100", -- INDEX 844 + B"00111010" when B"1101001101", -- INDEX 845 + B"00111011" when B"1101001110", -- INDEX 846 + B"00111011" when B"1101001111", -- INDEX 847 + B"00111100" when B"1101010000", -- INDEX 848 + B"00111101" when B"1101010001", -- INDEX 849 + B"00111101" when B"1101010010", -- INDEX 850 + B"00111110" when B"1101010011", -- INDEX 851 + B"00111111" when B"1101010100", -- INDEX 852 + B"00111111" when B"1101010101", -- INDEX 853 + B"01000000" when B"1101010110", -- INDEX 854 + B"01000001" when B"1101010111", -- INDEX 855 + B"01000010" when B"1101011000", -- INDEX 856 + B"01000010" when B"1101011001", -- INDEX 857 + B"01000011" when B"1101011010", -- INDEX 858 + B"01000100" when B"1101011011", -- INDEX 859 + B"01000100" when B"1101011100", -- INDEX 860 + B"01000101" when B"1101011101", -- INDEX 861 + B"01000110" when B"1101011110", -- INDEX 862 + B"01000110" when B"1101011111", -- INDEX 863 + B"01000111" when B"1101100000", -- INDEX 864 + B"01000111" when B"1101100001", -- INDEX 865 + B"01001000" when B"1101100010", -- INDEX 866 + B"01001001" when B"1101100011", -- INDEX 867 + B"01001001" when B"1101100100", -- INDEX 868 + B"01001010" when B"1101100101", -- INDEX 869 + B"01001011" when B"1101100110", -- INDEX 870 + B"01001011" when B"1101100111", -- INDEX 871 + B"01001100" when B"1101101000", -- INDEX 872 + B"01001101" when B"1101101001", -- INDEX 873 + B"01001101" when B"1101101010", -- INDEX 874 + B"01001110" when B"1101101011", -- INDEX 875 + B"01001110" when B"1101101100", -- INDEX 876 + B"01001111" when B"1101101101", -- INDEX 877 + B"01010000" when B"1101101110", -- INDEX 878 + B"01010000" when B"1101101111", -- INDEX 879 + B"01010001" when B"1101110000", -- INDEX 880 + B"01010010" when B"1101110001", -- INDEX 881 + B"01010010" when B"1101110010", -- INDEX 882 + B"01010011" when B"1101110011", -- INDEX 883 + B"01010011" when B"1101110100", -- INDEX 884 + B"01010100" when B"1101110101", -- INDEX 885 + B"01010101" when B"1101110110", -- INDEX 886 + B"01010101" when B"1101110111", -- INDEX 887 + B"01010110" when B"1101111000", -- INDEX 888 + B"01010110" when B"1101111001", -- INDEX 889 + B"01010111" when B"1101111010", -- INDEX 890 + B"01010111" when B"1101111011", -- INDEX 891 + B"01011000" when B"1101111100", -- INDEX 892 + B"01011001" when B"1101111101", -- INDEX 893 + B"01011001" when B"1101111110", -- INDEX 894 + B"01011010" when B"1101111111", -- INDEX 895 + B"01011010" when B"1110000000", -- INDEX 896 + B"01011011" when B"1110000001", -- INDEX 897 + B"01011011" when B"1110000010", -- INDEX 898 + B"01011100" when B"1110000011", -- INDEX 899 + B"01011100" when B"1110000100", -- INDEX 900 + B"01011101" when B"1110000101", -- INDEX 901 + B"01011110" when B"1110000110", -- INDEX 902 + B"01011110" when B"1110000111", -- INDEX 903 + B"01011111" when B"1110001000", -- INDEX 904 + B"01011111" when B"1110001001", -- INDEX 905 + B"01100000" when B"1110001010", -- INDEX 906 + B"01100000" when B"1110001011", -- INDEX 907 + B"01100001" when B"1110001100", -- INDEX 908 + B"01100001" when B"1110001101", -- INDEX 909 + B"01100010" when B"1110001110", -- INDEX 910 + B"01100010" when B"1110001111", -- INDEX 911 + B"01100011" when B"1110010000", -- INDEX 912 + B"01100011" when B"1110010001", -- INDEX 913 + B"01100100" when B"1110010010", -- INDEX 914 + B"01100100" when B"1110010011", -- INDEX 915 + B"01100101" when B"1110010100", -- INDEX 916 + B"01100101" when B"1110010101", -- INDEX 917 + B"01100110" when B"1110010110", -- INDEX 918 + B"01100110" when B"1110010111", -- INDEX 919 + B"01100111" when B"1110011000", -- INDEX 920 + B"01100111" when B"1110011001", -- INDEX 921 + B"01101000" when B"1110011010", -- INDEX 922 + B"01101000" when B"1110011011", -- INDEX 923 + B"01101000" when B"1110011100", -- INDEX 924 + B"01101001" when B"1110011101", -- INDEX 925 + B"01101001" when B"1110011110", -- INDEX 926 + B"01101010" when B"1110011111", -- INDEX 927 + B"01101010" when B"1110100000", -- INDEX 928 + B"01101011" when B"1110100001", -- INDEX 929 + B"01101011" when B"1110100010", -- INDEX 930 + B"01101100" when B"1110100011", -- INDEX 931 + B"01101100" when B"1110100100", -- INDEX 932 + B"01101100" when B"1110100101", -- INDEX 933 + B"01101101" when B"1110100110", -- INDEX 934 + B"01101101" when B"1110100111", -- INDEX 935 + B"01101110" when B"1110101000", -- INDEX 936 + B"01101110" when B"1110101001", -- INDEX 937 + B"01101110" when B"1110101010", -- INDEX 938 + B"01101111" when B"1110101011", -- INDEX 939 + B"01101111" when B"1110101100", -- INDEX 940 + B"01110000" when B"1110101101", -- INDEX 941 + B"01110000" when B"1110101110", -- INDEX 942 + B"01110000" when B"1110101111", -- INDEX 943 + B"01110001" when B"1110110000", -- INDEX 944 + B"01110001" when B"1110110001", -- INDEX 945 + B"01110001" when B"1110110010", -- INDEX 946 + B"01110010" when B"1110110011", -- INDEX 947 + B"01110010" when B"1110110100", -- INDEX 948 + B"01110011" when B"1110110101", -- INDEX 949 + B"01110011" when B"1110110110", -- INDEX 950 + B"01110011" when B"1110110111", -- INDEX 951 + B"01110100" when B"1110111000", -- INDEX 952 + B"01110100" when B"1110111001", -- INDEX 953 + B"01110100" when B"1110111010", -- INDEX 954 + B"01110101" when B"1110111011", -- INDEX 955 + B"01110101" when B"1110111100", -- INDEX 956 + B"01110101" when B"1110111101", -- INDEX 957 + B"01110101" when B"1110111110", -- INDEX 958 + B"01110110" when B"1110111111", -- INDEX 959 + B"01110110" when B"1111000000", -- INDEX 960 + B"01110110" when B"1111000001", -- INDEX 961 + B"01110111" when B"1111000010", -- INDEX 962 + B"01110111" when B"1111000011", -- INDEX 963 + B"01110111" when B"1111000100", -- INDEX 964 + B"01111000" when B"1111000101", -- INDEX 965 + B"01111000" when B"1111000110", -- INDEX 966 + B"01111000" when B"1111000111", -- INDEX 967 + B"01111000" when B"1111001000", -- INDEX 968 + B"01111001" when B"1111001001", -- INDEX 969 + B"01111001" when B"1111001010", -- INDEX 970 + B"01111001" when B"1111001011", -- INDEX 971 + B"01111001" when B"1111001100", -- INDEX 972 + B"01111010" when B"1111001101", -- INDEX 973 + B"01111010" when B"1111001110", -- INDEX 974 + B"01111010" when B"1111001111", -- INDEX 975 + B"01111010" when B"1111010000", -- INDEX 976 + B"01111011" when B"1111010001", -- INDEX 977 + B"01111011" when B"1111010010", -- INDEX 978 + B"01111011" when B"1111010011", -- INDEX 979 + B"01111011" when B"1111010100", -- INDEX 980 + B"01111011" when B"1111010101", -- INDEX 981 + B"01111100" when B"1111010110", -- INDEX 982 + B"01111100" when B"1111010111", -- INDEX 983 + B"01111100" when B"1111011000", -- INDEX 984 + B"01111100" when B"1111011001", -- INDEX 985 + B"01111100" when B"1111011010", -- INDEX 986 + B"01111101" when B"1111011011", -- INDEX 987 + B"01111101" when B"1111011100", -- INDEX 988 + B"01111101" when B"1111011101", -- INDEX 989 + B"01111101" when B"1111011110", -- INDEX 990 + B"01111101" when B"1111011111", -- INDEX 991 + B"01111101" when B"1111100000", -- INDEX 992 + B"01111110" when B"1111100001", -- INDEX 993 + B"01111110" when B"1111100010", -- INDEX 994 + B"01111110" when B"1111100011", -- INDEX 995 + B"01111110" when B"1111100100", -- INDEX 996 + B"01111110" when B"1111100101", -- INDEX 997 + B"01111110" when B"1111100110", -- INDEX 998 + B"01111110" when B"1111100111", -- INDEX 999 + B"01111111" when B"1111101000", -- INDEX 1000 + B"01111111" when B"1111101001", -- INDEX 1001 + B"01111111" when B"1111101010", -- INDEX 1002 + B"01111111" when B"1111101011", -- INDEX 1003 + B"01111111" when B"1111101100", -- INDEX 1004 + B"01111111" when B"1111101101", -- INDEX 1005 + B"01111111" when B"1111101110", -- INDEX 1006 + B"01111111" when B"1111101111", -- INDEX 1007 + B"01111111" when B"1111110000", -- INDEX 1008 + B"01111111" when B"1111110001", -- INDEX 1009 + B"01111111" when B"1111110010", -- INDEX 1010 + B"01111111" when B"1111110011", -- INDEX 1011 + B"01111111" when B"1111110100", -- INDEX 1012 + B"01111111" when B"1111110101", -- INDEX 1013 + B"01111111" when B"1111110110", -- INDEX 1014 + B"01111111" when B"1111110111", -- INDEX 1015 + B"01111111" when B"1111111000", -- INDEX 1016 + B"01111111" when B"1111111001", -- INDEX 1017 + B"01111111" when B"1111111010", -- INDEX 1018 + B"01111111" when B"1111111011", -- INDEX 1019 + B"01111111" when B"1111111100", -- INDEX 1020 + B"01111111" when B"1111111101", -- INDEX 1021 + B"01111111" when B"1111111110", -- INDEX 1022 + B"01111111" when B"1111111111", -- INDEX 1023 + +-- END COS ROMS + B"00000000" when others; + +end data_flow; Index: tags/VSFR_1/source/addacc.vhdl =================================================================== --- tags/VSFR_1/source/addacc.vhdl (nonexistent) +++ tags/VSFR_1/source/addacc.vhdl (revision 3) @@ -0,0 +1,67 @@ +-- $Id: addacc.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Accumulator and Adder +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : addacc.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/10/25 +-- Last update : 2004/11/19 +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Accumulator used in NCO of PLL in FM Receiver +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.STD_LOGIC_arith.all; + +entity addacc is + port ( + clock : in bit; + acc : in bit_vector (17 downto 0); + result : out bit_vector (17 downto 0); + offset : in bit_vector (17 downto 0) + ); +end addacc; + +architecture structural of addacc is + component adder_18bit + port ( + addend_18bit : in bit_vector (17 downto 0); + augend_18bit : in bit_vector (17 downto 0); + adder18_output : out bit_vector (17 downto 0) + ); + end component; + +signal result_adder01 : bit_vector (17 downto 0); +signal result_adder02 : bit_vector (17 downto 0); +signal result_adder02_reg : bit_vector (17 downto 0); + +begin +adder01 : adder_18bit + port map ( + addend_18bit => offset, + augend_18bit => acc, + adder18_output => result_adder01 + ); +adder02 : adder_18bit + port map ( + addend_18bit => result_adder01, + augend_18bit => result_adder02_reg, + adder18_output => result_adder02 + ); + process (clock) + begin + if ((clock = '1') and clock'event) then + result_adder02_reg <= result_adder02; + result <= result_adder02; + end if; + end process; +end structural; Index: tags/VSFR_1/source/fm.vhdl =================================================================== --- tags/VSFR_1/source/fm.vhdl (nonexistent) +++ tags/VSFR_1/source/fm.vhdl (revision 3) @@ -0,0 +1,153 @@ +-- $Id: fm.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : FM core component +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : fm.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/06 +-- Last update : 2005/01/03 +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : FM core component to connect all other component +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity fm is + port ( + CLK : in bit; + RESET : in bit; + FMIN : in bit_vector (07 downto 0); + DMOUT : out bit_vector (11 downto 0) + ); +end fm; + +architecture structural of fm is + component nco + port ( + clock : in bit; + clear : in bit; + input_nco : in bit_vector (17 downto 0); + offset : in bit_vector (17 downto 0); + output_nco : out bit_vector (07 downto 0) + ); + end component; + component loop_filter + port ( + input_loop : in bit_vector (07 downto 0); + clock : in bit; + output_loop : out bit_vector (11 downto 0); + clear : in bit + ); + end component; + component phase_detector + port ( + clock : in bit; + signal_input : in bit_vector (07 downto 0); + signal_nco : in bit_vector (07 downto 0); + phase_output : out bit_vector (07 downto 0) + ); + end component; + component fir + port ( + clock : in bit; + clear : in bit; + fir_in : in bit_vector (11 downto 0); + dmout : out bit_vector (11 downto 0) + ); + end component; +-- internal signal +signal loop_out : bit_vector (11 downto 0); +signal input_nco : bit_vector (17 downto 0); +signal offset : bit_vector (17 downto 0); +signal output_nco : bit_vector (07 downto 0); +signal phase_output : bit_vector (07 downto 0); + +begin +-- offset values 1/16 equ B"000100000000000000" <18,0,u> +offset (17) <= '0' ; +offset (16) <= '0' ; +offset (15) <= '0' ; +offset (14) <= '1' ; +offset (13) <= '0' ; +offset (12) <= '0' ; +offset (11) <= '0' ; +offset (10) <= '0' ; +offset (9) <= '0' ; +offset (8) <= '0' ; +offset (7) <= '0' ; +offset (6) <= '0' ; +offset (5) <= '0' ; +offset (4) <= '0' ; +offset (3) <= '0' ; +offset (2) <= '0' ; +offset (1) <= '0' ; +offset (0) <= '0' ; + +-- The constant that have great effect on the loop +-- it's a 1/16 divider it's has 5 step to change the output state with little +-- oscillation. it's can be make good shape by reducing the constant e.g 1/32 +-- but it's has slower response time than 1/16 about 2 times e.g approx 10 step +-- to change the output state. if it's too big e.g (1) then there is no output +-- only oscilation if it's is to small e.g (1/1024) then output never return to +-- zero, so it's didn't change the output state. + +input_nco (17) <= loop_out(11); -- 1 +input_nco (16) <= loop_out(11); -- 1/2 +input_nco (15) <= loop_out(11); -- 1/4 +input_nco (14) <= loop_out(11); -- 1/8 +input_nco (13) <= loop_out(11); -- 1/16 +input_nco (12) <= loop_out(10); -- 1/32 +input_nco (11) <= loop_out(09); -- 1/64 +input_nco (10) <= loop_out(08); -- 1/128 +input_nco (09) <= loop_out(07); -- 1/256 +input_nco (08) <= loop_out(06); -- 1/512 +input_nco (07) <= loop_out(05); -- 1/1024 +input_nco (06) <= loop_out(04); +input_nco (05) <= loop_out(03); +input_nco (04) <= loop_out(02); +input_nco (03) <= loop_out(01); +input_nco (02) <= loop_out(00); +input_nco (01) <= loop_out(11); +input_nco (00) <= loop_out(11); + +-- end divider +mynco : nco + port map ( + clock => CLK, + clear => RESET, + input_nco => input_nco, + offset => offset, + output_nco ( 7 downto 0) => output_nco + ); +myfir : fir + port map ( + clock => CLK, + clear => RESET, + fir_in => loop_out, + dmout (11 downto 0) => DMOUT + ); +myphase : phase_detector + port map ( + clock => CLK, + signal_input => FMIN, + signal_nco => output_nco, + phase_output ( 7 downto 0) => phase_output + ); +myloop : loop_filter + port map ( + input_loop => phase_output, + clock => CLK, + output_loop (11 downto 0) => loop_out, + clear => RESET + ); +end structural; Index: tags/VSFR_1/source/fulladder.vhdl =================================================================== --- tags/VSFR_1/source/fulladder.vhdl (nonexistent) +++ tags/VSFR_1/source/fulladder.vhdl (revision 3) @@ -0,0 +1,39 @@ +-- $Id: fulladder.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Full Adder component +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : fulladder.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/01 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Simple one bit adder with carry +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity fulladder is + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); +end fulladder; + +architecture data_flow of fulladder is +begin + sum <= ((addend xor augend) xor carry_in); + carry <= ((addend and augend) or (carry_in and (addend or augend))); +end data_flow; Index: tags/VSFR_1/source/modelsim_v.do =================================================================== --- tags/VSFR_1/source/modelsim_v.do (nonexistent) +++ tags/VSFR_1/source/modelsim_v.do (revision 3) @@ -0,0 +1,13 @@ +# $Id: modelsim_v.do,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +# +destroy .wave; +destroy .list; +vlib work; +vlog *.v; +vsim bench; +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/fmin +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/output_nco +add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/phase_output +add wave -height 80 -scale .0002 -format Analog-Step /bench/myfm/mynco/myaddacc/result +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/loop_out +add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/dmout
tags/VSFR_1/source/modelsim_v.do Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: tags/VSFR_1/source/mult_8bit.vhdl =================================================================== --- tags/VSFR_1/source/mult_8bit.vhdl (nonexistent) +++ tags/VSFR_1/source/mult_8bit.vhdl (revision 3) @@ -0,0 +1,352 @@ +-- $Id: mult_8bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Eight bit multiplier +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : mult_8bit.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/20 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Multiplier used in phase detector +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity mult_8bit is + port ( + mult_01 : in bit_vector (07 downto 0); + mult_02 : in bit_vector (07 downto 0); + result_mult : out bit_vector (15 downto 0) + ); +end mult_8bit; + +architecture structural of mult_8bit is + component adder_16bit + port ( + addend_16bit : in bit_vector (15 downto 0); + augend_16bit : in bit_vector (15 downto 0); + adder16_output : out bit_vector (16 downto 0) + ); + end component; + + component adder_16bit_u + port ( + addend_16bit : in bit_vector (15 downto 0); + augend_16bit : in bit_vector (15 downto 0); + adder16_output : out bit_vector (15 downto 0) + ); + end component; + + component adder_15bit + port ( + addend_15bit : in bit_vector (14 downto 0); + augend_15bit : in bit_vector (14 downto 0); + adder15_output : out bit_vector (15 downto 0) + ); + end component; + + component adder_14bit + port ( + addend_14bit : in bit_vector (13 downto 0); + augend_14bit : in bit_vector (13 downto 0); + adder14_output : out bit_vector (14 downto 0) + ); + end component; + + component adder_13bit + port ( + addend_13bit : in bit_vector (12 downto 0); + augend_13bit : in bit_vector (12 downto 0); + adder13_output : out bit_vector (13 downto 0) + ); + end component; + + component adder_12bit + port ( + addend_12bit : in bit_vector (11 downto 0); + augend_12bit : in bit_vector (11 downto 0); + adder12_output : out bit_vector (12 downto 0) + ); + end component; + + component adder_11bit + port ( + addend_11bit : in bit_vector (10 downto 0); + augend_11bit : in bit_vector (10 downto 0); + adder11_output : out bit_vector (11 downto 0) + ); + end component; + + component adder_10bit + port ( + addend_10bit : in bit_vector (09 downto 0); + augend_10bit : in bit_vector (09 downto 0); + adder10_output : out bit_vector (10 downto 0) + ); + end component; + + component adder_09bit + port ( + addend_09bit : in bit_vector (08 downto 0); + augend_09bit : in bit_vector (08 downto 0); + adder09_output : out bit_vector (09 downto 0) + ); + end component; + + signal input_phase : bit_vector (07 downto 0); + signal signal_nco : bit_vector (07 downto 0); + + signal sum_part01 : bit_vector (08 downto 0); + signal sum_part01_z : bit_vector (08 downto 0); + signal sum_part02 : bit_vector (09 downto 0); + signal sum_part03 : bit_vector (10 downto 0); + signal sum_part04 : bit_vector (11 downto 0); + signal sum_part05 : bit_vector (12 downto 0); + signal sum_part06 : bit_vector (13 downto 0); + signal sum_part07 : bit_vector (14 downto 0); + signal sum_part08_t : bit_vector (15 downto 0); + signal sum_part08_o : bit_vector (15 downto 0); + signal sum_part08_a : bit_vector (15 downto 0); + signal sum_part08 : bit_vector (15 downto 0); + + signal adder_stage_01 : bit_vector (09 downto 0); + signal adder_stage_02 : bit_vector (10 downto 0); + signal adder_stage_03 : bit_vector (11 downto 0); + signal adder_stage_04 : bit_vector (12 downto 0); + signal adder_stage_05 : bit_vector (13 downto 0); + signal adder_stage_06 : bit_vector (14 downto 0); + signal adder_stage_07 : bit_vector (15 downto 0); + signal adder_stage_08 : bit_vector (16 downto 0); + + begin + + sum_part01_z (00) <= '0'; + sum_part01_z (01) <= '0'; + sum_part01_z (02) <= '0'; + sum_part01_z (03) <= '0'; + sum_part01_z (04) <= '0'; + sum_part01_z (05) <= '0'; + sum_part01_z (06) <= '0'; + sum_part01_z (07) <= '0'; + sum_part01_z (08) <= '0'; + + sum_part01(00) <= signal_nco(0) and input_phase(0); + sum_part01(01) <= signal_nco(0) and input_phase(1); + sum_part01(02) <= signal_nco(0) and input_phase(2); + sum_part01(03) <= signal_nco(0) and input_phase(3); + sum_part01(04) <= signal_nco(0) and input_phase(4); + sum_part01(05) <= signal_nco(0) and input_phase(5); + sum_part01(06) <= signal_nco(0) and input_phase(6); + sum_part01(07) <= signal_nco(0) and input_phase(7); + sum_part01(08) <= signal_nco(0) and input_phase(7); + + sum_part02(00) <= '0'; + sum_part02(01) <= signal_nco(1) and input_phase(0); + sum_part02(02) <= signal_nco(1) and input_phase(1); + sum_part02(03) <= signal_nco(1) and input_phase(2); + sum_part02(04) <= signal_nco(1) and input_phase(3); + sum_part02(05) <= signal_nco(1) and input_phase(4); + sum_part02(06) <= signal_nco(1) and input_phase(5); + sum_part02(07) <= signal_nco(1) and input_phase(6); + sum_part02(08) <= signal_nco(1) and input_phase(7); + sum_part02(09) <= signal_nco(1) and input_phase(7); + + sum_part03(00) <= '0'; + sum_part03(01) <= '0'; + sum_part03(02) <= signal_nco(2) and input_phase(0); + sum_part03(03) <= signal_nco(2) and input_phase(1); + sum_part03(04) <= signal_nco(2) and input_phase(2); + sum_part03(05) <= signal_nco(2) and input_phase(3); + sum_part03(06) <= signal_nco(2) and input_phase(4); + sum_part03(07) <= signal_nco(2) and input_phase(5); + sum_part03(08) <= signal_nco(2) and input_phase(6); + sum_part03(09) <= signal_nco(2) and input_phase(7); + sum_part03(10) <= signal_nco(2) and input_phase(7); + + sum_part04(00) <= '0'; + sum_part04(01) <= '0'; + sum_part04(02) <= '0'; + sum_part04(03) <= signal_nco(3) and input_phase(0); + sum_part04(04) <= signal_nco(3) and input_phase(1); + sum_part04(05) <= signal_nco(3) and input_phase(2); + sum_part04(06) <= signal_nco(3) and input_phase(3); + sum_part04(07) <= signal_nco(3) and input_phase(4); + sum_part04(08) <= signal_nco(3) and input_phase(5); + sum_part04(09) <= signal_nco(3) and input_phase(6); + sum_part04(10) <= signal_nco(3) and input_phase(7); + sum_part04(11) <= signal_nco(3) and input_phase(7); + + sum_part05(00) <= '0'; + sum_part05(01) <= '0'; + sum_part05(02) <= '0'; + sum_part05(03) <= '0'; + sum_part05(04) <= signal_nco(4) and input_phase(0); + sum_part05(05) <= signal_nco(4) and input_phase(1); + sum_part05(06) <= signal_nco(4) and input_phase(2); + sum_part05(07) <= signal_nco(4) and input_phase(3); + sum_part05(08) <= signal_nco(4) and input_phase(4); + sum_part05(09) <= signal_nco(4) and input_phase(5); + sum_part05(10) <= signal_nco(4) and input_phase(6); + sum_part05(11) <= signal_nco(4) and input_phase(7); + sum_part05(12) <= signal_nco(4) and input_phase(7); + + sum_part06(00) <= '0'; + sum_part06(01) <= '0'; + sum_part06(02) <= '0'; + sum_part06(03) <= '0'; + sum_part06(04) <= '0'; + sum_part06(05) <= signal_nco(5) and input_phase(0); + sum_part06(06) <= signal_nco(5) and input_phase(1); + sum_part06(07) <= signal_nco(5) and input_phase(2); + sum_part06(08) <= signal_nco(5) and input_phase(3); + sum_part06(09) <= signal_nco(5) and input_phase(4); + sum_part06(10) <= signal_nco(5) and input_phase(5); + sum_part06(11) <= signal_nco(5) and input_phase(6); + sum_part06(12) <= signal_nco(5) and input_phase(7); + sum_part06(13) <= signal_nco(5) and input_phase(7); + + sum_part07(00) <= '0'; + sum_part07(01) <= '0'; + sum_part07(02) <= '0'; + sum_part07(03) <= '0'; + sum_part07(04) <= '0'; + sum_part07(05) <= '0'; + sum_part07(06) <= signal_nco(6) and input_phase(0); + sum_part07(07) <= signal_nco(6) and input_phase(1); + sum_part07(08) <= signal_nco(6) and input_phase(2); + sum_part07(09) <= signal_nco(6) and input_phase(3); + sum_part07(10) <= signal_nco(6) and input_phase(4); + sum_part07(11) <= signal_nco(6) and input_phase(5); + sum_part07(12) <= signal_nco(6) and input_phase(6); + sum_part07(13) <= signal_nco(6) and input_phase(7); + sum_part07(14) <= signal_nco(6) and input_phase(7); + + sum_part08(00) <= '0'; + sum_part08(01) <= '0'; + sum_part08(02) <= '0'; + sum_part08(03) <= '0'; + sum_part08(04) <= '0'; + sum_part08(05) <= '0'; + sum_part08(06) <= '0'; + sum_part08(07) <= signal_nco(7) and input_phase(0); + sum_part08(08) <= signal_nco(7) and input_phase(1); + sum_part08(09) <= signal_nco(7) and input_phase(2); + sum_part08(10) <= signal_nco(7) and input_phase(3); + sum_part08(11) <= signal_nco(7) and input_phase(4); + sum_part08(12) <= signal_nco(7) and input_phase(5); + sum_part08(13) <= signal_nco(7) and input_phase(6); + sum_part08(14) <= signal_nco(7) and input_phase(7); + sum_part08(15) <= signal_nco(7) and input_phase(7); + + sum_part08_t (00) <= (not (sum_part08 (00))); + sum_part08_t (01) <= (not (sum_part08 (01))); + sum_part08_t (02) <= (not (sum_part08 (02))); + sum_part08_t (03) <= (not (sum_part08 (03))); + sum_part08_t (04) <= (not (sum_part08 (04))); + sum_part08_t (05) <= (not (sum_part08 (05))); + sum_part08_t (06) <= (not (sum_part08 (06))); + sum_part08_t (07) <= (not (sum_part08 (07))); + sum_part08_t (08) <= (not (sum_part08 (08))); + sum_part08_t (09) <= (not (sum_part08 (09))); + sum_part08_t (10) <= (not (sum_part08 (10))); + sum_part08_t (11) <= (not (sum_part08 (11))); + sum_part08_t (12) <= (not (sum_part08 (12))); + sum_part08_t (13) <= (not (sum_part08 (13))); + sum_part08_t (14) <= (not (sum_part08 (14))); + sum_part08_t (15) <= (not (sum_part08 (15))); + + sum_part08_o (00) <= '1'; + sum_part08_o (01) <= '0'; + sum_part08_o (02) <= '0'; + sum_part08_o (03) <= '0'; + sum_part08_o (04) <= '0'; + sum_part08_o (05) <= '0'; + sum_part08_o (06) <= '0'; + sum_part08_o (07) <= '0'; + sum_part08_o (08) <= '0'; + sum_part08_o (09) <= '0'; + sum_part08_o (10) <= '0'; + sum_part08_o (11) <= '0'; + sum_part08_o (12) <= '0'; + sum_part08_o (13) <= '0'; + sum_part08_o (14) <= '0'; + sum_part08_o (15) <= '0'; + +stage_01 : adder_09bit + port map ( + addend_09bit (08 downto 0) => sum_part01_z, + augend_09bit (08 downto 0) => sum_part01, + adder09_output (09 downto 0) => adder_stage_01 + ); + +stage_02 : adder_10bit + port map ( + addend_10bit (09 downto 0) => adder_stage_01, + augend_10bit (09 downto 0) => sum_part02, + adder10_output (10 downto 0) => adder_stage_02 + ); + +stage_03 : adder_11bit + port map ( + addend_11bit (10 downto 0) => adder_stage_02, + augend_11bit (10 downto 0) => sum_part03, + adder11_output (11 downto 0) => adder_stage_03 + ); + +stage_04 : adder_12bit + port map ( + addend_12bit (11 downto 0) => adder_stage_03, + augend_12bit (11 downto 0) => sum_part04, + adder12_output (12 downto 0) => adder_stage_04 + ); + +stage_05 : adder_13bit + port map ( + addend_13bit (12 downto 0) => adder_stage_04, + augend_13bit (12 downto 0) => sum_part05, + adder13_output (13 downto 0) => adder_stage_05 + ); + +stage_06 : adder_14bit + port map ( + addend_14bit (13 downto 0) => adder_stage_05, + augend_14bit (13 downto 0) => sum_part06, + adder14_output (14 downto 0) => adder_stage_06 + ); + +stage_07 : adder_15bit + port map ( + addend_15bit (14 downto 0) => adder_stage_06, + augend_15bit (14 downto 0) => sum_part07, + adder15_output (15 downto 0) => adder_stage_07 + ); + +stage_08_a : adder_16bit_u + port map ( + addend_16bit (15 downto 0) => sum_part08_t, + augend_16bit (15 downto 0) => sum_part08_o, + adder16_output (15 downto 0) => sum_part08_a + ); + +stage_08 : adder_16bit + port map ( + addend_16bit (15 downto 0) => adder_stage_07, + augend_16bit (15 downto 0) => sum_part08_a, + adder16_output (16 downto 0) => adder_stage_08 + ); + + input_phase <= mult_01; + signal_nco <= mult_02; + result_mult <= adder_stage_08(15 downto 0); + +end structural; Index: tags/VSFR_1/source/adder_16bit_u.vhdl =================================================================== --- tags/VSFR_1/source/adder_16bit_u.vhdl (nonexistent) +++ tags/VSFR_1/source/adder_16bit_u.vhdl (revision 3) @@ -0,0 +1,212 @@ +-- $Id: adder_16bit_u.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Adder 16 bit +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : adder_16bit_u.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/23 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Ripple carry adder 16 bit with output 16 bit +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity adder_16bit_u is + port ( + addend_16bit : in bit_vector (15 downto 0); + augend_16bit : in bit_vector (15 downto 0); + adder16_output: out bit_vector (15 downto 0) + ); +end adder_16bit_u; + +architecture structural of adder_16bit_u is + + component fulladder + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); + end component; + +-- internal signal +signal c00 : bit; +signal c01 : bit; +signal c02 : bit; +signal c03 : bit; +signal c04 : bit; +signal c05 : bit; +signal c06 : bit; +signal c07 : bit; +signal c08 : bit; +signal c09 : bit; +signal c10 : bit; +signal c11 : bit; +signal c12 : bit; +signal c13 : bit; +signal c14 : bit; +signal c15 : bit; +signal c16 : bit; + +begin + +c00 <= '0'; + +fa15 : fulladder + port map ( + addend => addend_16bit(15), + augend => augend_16bit(15), + carry_in => c15, + sum => adder16_output(15), + carry => c16 + ); + +fa14 : fulladder + port map ( + addend => addend_16bit(14), + augend => augend_16bit(14), + carry_in => c14, + sum => adder16_output(14), + carry => c15 + ); + +fa13 : fulladder + port map ( + addend => addend_16bit(13), + augend => augend_16bit(13), + carry_in => c13, + sum => adder16_output(13), + carry => c14 + ); + +fa12 : fulladder + port map ( + addend => addend_16bit(12), + augend => augend_16bit(12), + carry_in => c12, + sum => adder16_output(12), + carry => c13 + ); + +fa11 : fulladder + port map ( + addend => addend_16bit(11), + augend => augend_16bit(11), + carry_in => c11, + sum => adder16_output(11), + carry => c12 + ); + +fa10 : fulladder + port map ( + addend => addend_16bit(10), + augend => augend_16bit(10), + carry_in => c10, + sum => adder16_output(10), + carry => c11 + ); + +fa09 : fulladder + port map ( + addend => addend_16bit(09), + augend => augend_16bit(09), + carry_in => c09, + sum => adder16_output(09), + carry => c10 + ); + +fa08 : fulladder + port map ( + addend => addend_16bit(08), + augend => augend_16bit(08), + carry_in => c08, + sum => adder16_output(08), + carry => c09 + ); + +fa07 : fulladder + port map ( + addend => addend_16bit(07), + augend => augend_16bit(07), + carry_in => c07, + sum => adder16_output(07), + carry => c08 + ); + +fa06 : fulladder + port map ( + addend => addend_16bit(06), + augend => augend_16bit(06), + carry_in => c06, + sum => adder16_output(06), + carry => c07 + ); + +fa05 : fulladder + port map ( + addend => addend_16bit(05), + augend => augend_16bit(05), + carry_in => c05, + sum => adder16_output(05), + carry => c06 + ); + +fa04 : fulladder + port map ( + addend => addend_16bit(04), + augend => augend_16bit(04), + carry_in => c04, + sum => adder16_output(04), + carry => c05 + ); + +fa03 : fulladder + port map ( + addend => addend_16bit(03), + augend => augend_16bit(03), + carry_in => c03, + sum => adder16_output(03), + carry => c04 + ); + +fa02 : fulladder + port map ( + addend => addend_16bit(02), + augend => augend_16bit(02), + carry_in => c02, + sum => adder16_output(02), + carry => c03 + ); + +fa01 : fulladder + port map ( + addend => addend_16bit(01), + augend => augend_16bit(01), + carry_in => c01, + sum => adder16_output(01), + carry => c02 + ); + +fa00 : fulladder + port map ( + addend => addend_16bit(00), + augend => augend_16bit(00), + carry_in => c00, + sum => adder16_output(00), + carry => c01 + ); + +end structural; Index: tags/VSFR_1/source/adder_10bit.vhdl =================================================================== --- tags/VSFR_1/source/adder_10bit.vhdl (nonexistent) +++ tags/VSFR_1/source/adder_10bit.vhdl (revision 3) @@ -0,0 +1,157 @@ +-- $Id: adder_10bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Adder 10 bit +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : adder_10bit.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/23 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Ripple carry adder 10 bit with output 11 bit +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity adder_10bit is + port ( + addend_10bit : in bit_vector (09 downto 0); + augend_10bit : in bit_vector (09 downto 0); + adder10_output: out bit_vector (10 downto 0) + ); +end adder_10bit; + +architecture structural of adder_10bit is + + component fulladder + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); + end component; + +signal c00 : bit; +signal c01 : bit; +signal c02 : bit; +signal c03 : bit; +signal c04 : bit; +signal c05 : bit; +signal c06 : bit; +signal c07 : bit; +signal c08 : bit; +signal c09 : bit; +signal c10 : bit; +signal over10 : bit; +signal adder10_output_int : bit_vector (10 downto 0); + +begin + +c00 <= '0'; +over10 <= (addend_10bit (09) xor augend_10bit (09)); +adder10_output_int (10) <= ((adder10_output_int (09) and over10) or + (c10 and (not (over10)))); +adder10_output <= adder10_output_int; + +fa09 : fulladder + port map ( + addend => addend_10bit(09), + augend => augend_10bit(09), + carry_in => c09, + sum => adder10_output_int(09), + carry => c10 + ); + +fa08 : fulladder + port map ( + addend => addend_10bit(08), + augend => augend_10bit(08), + carry_in => c08, + sum => adder10_output_int(08), + carry => c09 + ); + +fa07 : fulladder + port map ( + addend => addend_10bit(07), + augend => augend_10bit(07), + carry_in => c07, + sum => adder10_output_int(07), + carry => c08 + ); + +fa06 : fulladder + port map ( + addend => addend_10bit(06), + augend => augend_10bit(06), + carry_in => c06, + sum => adder10_output_int(06), + carry => c07 + ); + +fa05 : fulladder + port map ( + addend => addend_10bit(05), + augend => augend_10bit(05), + carry_in => c05, + sum => adder10_output_int(05), + carry => c06 + ); + +fa04 : fulladder + port map ( + addend => addend_10bit(04), + augend => augend_10bit(04), + carry_in => c04, + sum => adder10_output_int(04), + carry => c05 + ); + +fa03 : fulladder + port map ( + addend => addend_10bit(03), + augend => augend_10bit(03), + carry_in => c03, + sum => adder10_output_int(03), + carry => c04 + ); + +fa02 : fulladder + port map ( + addend => addend_10bit(02), + augend => augend_10bit(02), + carry_in => c02, + sum => adder10_output_int(02), + carry => c03 + ); + +fa01 : fulladder + port map ( + addend => addend_10bit(01), + augend => augend_10bit(01), + carry_in => c01, + sum => adder10_output_int(01), + carry => c02 + ); + +fa00 : fulladder + port map ( + addend => addend_10bit(00), + augend => augend_10bit(00), + carry_in => c00, + sum => adder10_output_int(00), + carry => c01 + ); + +end structural; Index: tags/VSFR_1/source/loop_filter.vhdl =================================================================== --- tags/VSFR_1/source/loop_filter.vhdl (nonexistent) +++ tags/VSFR_1/source/loop_filter.vhdl (revision 3) @@ -0,0 +1,146 @@ +-- $Id: loop_filter.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Loop filter component +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : loop_filter.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/11/12 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : loop filter in PLL loop +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity loop_filter is + port ( + input_loop : in bit_vector (07 downto 0); + clock : in bit; + output_loop : out bit_vector (11 downto 0); + clear : in bit + ); +end loop_filter; + +architecture structural of loop_filter is + + component adder_12bit + port ( + addend_12bit : in bit_vector (11 downto 0); + augend_12bit : in bit_vector (11 downto 0); + adder12_output : out bit_vector (12 downto 0) + ); + end component; + + component sub_12bit + port ( + addend_12bit : in bit_vector (11 downto 0); + subtrahend_12bit : in bit_vector (11 downto 0); + subtractor12_output : out bit_vector (11 downto 0) + ); + end component; + + signal input_recv : bit_vector (11 downto 0); + signal loop_out : bit_vector (11 downto 0); + signal loop_out_div : bit_vector (11 downto 0); + signal loop_out_back1 : bit_vector (11 downto 0); + signal multiply_output01 : bit_vector (11 downto 0); + signal adder_output01 : bit_vector (12 downto 0); + signal adder_output01_reg: bit_vector (12 downto 0); + + begin + + input_recv (11) <= input_loop (07); -- 1 + input_recv (10) <= input_loop (07); -- 1/2 + input_recv (09) <= input_loop (07); -- 1/4 + input_recv (08) <= input_loop (07); -- 1/8 + input_recv (07) <= input_loop (07); -- 1/16 + input_recv (06) <= input_loop (06); + input_recv (05) <= input_loop (05); + input_recv (04) <= input_loop (04); + input_recv (03) <= input_loop (03); + input_recv (02) <= input_loop (02); + input_recv (01) <= input_loop (01); + input_recv (00) <= input_loop (00); + +adder01 : adder_12bit + port map ( + addend_12bit => loop_out_div, + augend_12bit => input_recv, + adder12_output => adder_output01 + ); + + loop_out_back1(11) <= loop_out(11); -- 1 + loop_out_back1(10) <= loop_out(11); -- 1/2 + loop_out_back1(09) <= loop_out(11); -- 1/4 + loop_out_back1(08) <= loop_out(11); -- 1/8 + loop_out_back1(07) <= loop_out(11); -- 1/16 + loop_out_back1(06) <= loop_out(10); + loop_out_back1(05) <= loop_out(09); + loop_out_back1(04) <= loop_out(08); + loop_out_back1(03) <= loop_out(07); + loop_out_back1(02) <= loop_out(06); + loop_out_back1(01) <= loop_out(05); + loop_out_back1(00) <= loop_out(04); + +multiply01 : sub_12bit + port map ( + addend_12bit => loop_out, + subtrahend_12bit => loop_out_back1, + subtractor12_output => multiply_output01 + ); + + loop_out_div <= multiply_output01; + + adder_output01_reg (11) <= (adder_output01 (11) and not(clear)); + adder_output01_reg (10) <= (adder_output01 (10) and not(clear)); + adder_output01_reg (09) <= (adder_output01 (09) and not(clear)); + adder_output01_reg (08) <= (adder_output01 (08) and not(clear)); + adder_output01_reg (07) <= (adder_output01 (07) and not(clear)); + adder_output01_reg (06) <= (adder_output01 (06) and not(clear)); + adder_output01_reg (05) <= (adder_output01 (05) and not(clear)); + adder_output01_reg (04) <= (adder_output01 (04) and not(clear)); + adder_output01_reg (03) <= (adder_output01 (03) and not(clear)); + adder_output01_reg (02) <= (adder_output01 (02) and not(clear)); + adder_output01_reg (01) <= (adder_output01 (01) and not(clear)); + adder_output01_reg (00) <= (adder_output01 (00) and not(clear)); + + process (clock) + begin + +--if (((clock = '1') and (not (clear = '1'))) and clock'event) then + if ((clock = '1') and clock'event) then +-- loop_out (11) <= adder_output01_reg (12); + loop_out (11) <= adder_output01_reg (11); + loop_out (10) <= adder_output01_reg (10); + loop_out (09) <= adder_output01_reg (09); + loop_out (08) <= adder_output01_reg (08); + loop_out (07) <= adder_output01_reg (07); + loop_out (06) <= adder_output01_reg (06); + loop_out (05) <= adder_output01_reg (05); + loop_out (04) <= adder_output01_reg (04); + loop_out (03) <= adder_output01_reg (03); + loop_out (02) <= adder_output01_reg (02); + loop_out (01) <= adder_output01_reg (01); + loop_out (00) <= adder_output01_reg (00); + +-- end if; + +-- elsif (clear = '1') then -- can't be synhesized in Xilinx +-- loop_out <= (others => '0'); + + end if; + end process; + + output_loop <= loop_out; + +end structural; Index: tags/VSFR_1/source/modelsim.do =================================================================== --- tags/VSFR_1/source/modelsim.do (nonexistent) +++ tags/VSFR_1/source/modelsim.do (revision 3) @@ -0,0 +1,19 @@ +# $Id: modelsim.do,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +# Modelsim do file +destroy .wave; +destroy .list; +vlib work; +vcom *.vhdl; +vsim bench; +add wave /bench/clock; +add wave /bench/reset; +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/fmin +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/output_nco +add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/phase_output +add wave -height 80 -scale .0002 -format Analog-Step /bench/myfm/mynco/myaddacc/result +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/loop_out +add wave -height 80 -scale 2. -format Analog-Step /bench/myfm/dmout +# force -freeze sim:/bench/clock 1 0, 0 {50 ns} -r 100 +# force -freeze sim:/bench/reset 0 0 +# run -all +# run 102400ns
tags/VSFR_1/source/modelsim.do Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: tags/VSFR_1/source/adder_11bit.vhdl =================================================================== --- tags/VSFR_1/source/adder_11bit.vhdl (nonexistent) +++ tags/VSFR_1/source/adder_11bit.vhdl (revision 3) @@ -0,0 +1,168 @@ +-- $Id: adder_11bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Adder 11 bit +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : adder_11bit.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/23 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Ripple carry adder 11 bit with output 12 bit +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity adder_11bit is + port ( + addend_11bit : in bit_vector (10 downto 0); + augend_11bit : in bit_vector (10 downto 0); + adder11_output: out bit_vector (11 downto 0) -- 12 bit output + ); +end adder_11bit; + +architecture structural of adder_11bit is + + component fulladder + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); + end component; + +-- internal signal +signal c00 : bit; +signal c01 : bit; +signal c02 : bit; +signal c03 : bit; +signal c04 : bit; +signal c05 : bit; +signal c06 : bit; +signal c07 : bit; +signal c08 : bit; +signal c09 : bit; +signal c10 : bit; +signal c11 : bit; +signal over11 : bit; +signal adder11_output_int : bit_vector (11 downto 0); + +begin + +c00 <= '0'; +over11 <= (addend_11bit (10) xor augend_11bit (10)); +adder11_output_int (11) <= ((adder11_output_int (10) and over11) or + (c11 and (not (over11)))); +adder11_output <= adder11_output_int; + +fa10 : fulladder + port map ( + addend => addend_11bit(10), + augend => augend_11bit(10), + carry_in => c10, + sum => adder11_output_int(10), + carry => c11 + ); + +fa09 : fulladder + port map ( + addend => addend_11bit(09), + augend => augend_11bit(09), + carry_in => c09, + sum => adder11_output_int(09), + carry => c10 + ); + +fa08 : fulladder + port map ( + addend => addend_11bit(08), + augend => augend_11bit(08), + carry_in => c08, + sum => adder11_output_int(08), + carry => c09 + ); + +fa07 : fulladder + port map ( + addend => addend_11bit(07), + augend => augend_11bit(07), + carry_in => c07, + sum => adder11_output_int(07), + carry => c08 + ); + +fa06 : fulladder + port map ( + addend => addend_11bit(06), + augend => augend_11bit(06), + carry_in => c06, + sum => adder11_output_int(06), + carry => c07 + ); + +fa05 : fulladder + port map ( + addend => addend_11bit(05), + augend => augend_11bit(05), + carry_in => c05, + sum => adder11_output_int(05), + carry => c06 + ); + +fa04 : fulladder + port map ( + addend => addend_11bit(04), + augend => augend_11bit(04), + carry_in => c04, + sum => adder11_output_int(04), + carry => c05 + ); + +fa03 : fulladder + port map ( + addend => addend_11bit(03), + augend => augend_11bit(03), + carry_in => c03, + sum => adder11_output_int(03), + carry => c04 + ); + +fa02 : fulladder + port map ( + addend => addend_11bit(02), + augend => augend_11bit(02), + carry_in => c02, + sum => adder11_output_int(02), + carry => c03 + ); + +fa01 : fulladder + port map ( + addend => addend_11bit(01), + augend => augend_11bit(01), + carry_in => c01, + sum => adder11_output_int(01), + carry => c02 + ); + +fa00 : fulladder + port map ( + addend => addend_11bit(00), + augend => augend_11bit(00), + carry_in => c00, + sum => adder11_output_int(00), + carry => c01 + ); + +end structural; Index: tags/VSFR_1/source/modelsim_vhd.do =================================================================== --- tags/VSFR_1/source/modelsim_vhd.do (nonexistent) +++ tags/VSFR_1/source/modelsim_vhd.do (revision 3) @@ -0,0 +1,16 @@ +# $Id: modelsim_vhd.do,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +# +destroy .wave; +destroy .list; +vlib work; +vcom *.vhd *.vhdl; +vsim bench; +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/fmin +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/output_nco +add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/phase_output +add wave -height 80 -scale .0002 -format Analog-Step /bench/myfm/mynco/myaddacc/result +add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/loop_out +add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/dmout +# force -freeze sim:/bench/clock 1 0, 0 {50 ns} -r 100 +# force -freeze sim:/bench/reset 0 0 +# run 102400ns
tags/VSFR_1/source/modelsim_vhd.do Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: tags/VSFR_1/source/nco.vhdl =================================================================== --- tags/VSFR_1/source/nco.vhdl (nonexistent) +++ tags/VSFR_1/source/nco.vhdl (revision 3) @@ -0,0 +1,90 @@ +-- $Id: nco.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : NCO (Numerical Controlled Oscillator) +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : nco.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/10/27 +-- Last update : 2005/01/01 +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Works like VCO in analog PLL +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.STD_LOGIC_arith.all; + +entity nco is + port ( + clock : in bit; + clear : in bit; + input_nco : in bit_vector (17 downto 0); + offset : in bit_vector (17 downto 0); + output_nco : out bit_vector (07 downto 0) + ); +end nco; + +architecture structural of nco is + component addacc + port ( + clock : in bit; + acc : in bit_vector (17 downto 0); + result : out bit_vector (17 downto 0); + offset : in bit_vector (17 downto 0) + ); + end component; + + component rom + port ( + address : in bit_vector (09 downto 0); + data : out bit_vector (07 downto 0) + ); + end component; + + signal adder_output : bit_vector (17 downto 0); + signal address_in : bit_vector (09 downto 0); + signal output_rom : bit_vector (07 downto 0); + +begin + address_in (9) <= adder_output(17); + address_in (8) <= adder_output(16); + address_in (7) <= adder_output(15); + address_in (6) <= adder_output(14); + address_in (5) <= adder_output(13); + address_in (4) <= adder_output(12); + address_in (3) <= adder_output(11); + address_in (2) <= adder_output(10); + address_in (1) <= adder_output(09); + address_in (0) <= adder_output(08); + + output_nco (07) <= (output_rom (07) and not(clear)); + output_nco (06) <= (output_rom (06) and not(clear)); + output_nco (05) <= (output_rom (05) and not(clear)); + output_nco (04) <= (output_rom (04) and not(clear)); + output_nco (03) <= (output_rom (03) and not(clear)); + output_nco (02) <= (output_rom (02) and not(clear)); + output_nco (01) <= (output_rom (01) and not(clear)); + output_nco (00) <= (output_rom (00) and not(clear)); + + myaddacc : addacc + port map ( + clock => clock, + acc => input_nco, + result (17 downto 0) => adder_output, + offset => offset + ); + myrom : rom + port map ( + address (09 downto 0) => address_in, + data (07 downto 0) => output_rom + ); + +end structural; Index: tags/VSFR_1/source/fir.vhdl =================================================================== --- tags/VSFR_1/source/fir.vhdl (nonexistent) +++ tags/VSFR_1/source/fir.vhdl (revision 3) @@ -0,0 +1,247 @@ +-- $Id: fir.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : FIR Low pass filter +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : fir.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/10/30 +-- Last update : 2004/12/31 +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : FIR low pass filter +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity fir is + port( + clock : in bit; + clear : in bit; + fir_in : in bit_vector (11 downto 0); -- <12,4,t> + dmout : out bit_vector (11 downto 0) -- <12,4,t> + ); +end fir; + +architecture structural of fir is + component adder_15bit + port ( + addend_15bit : in bit_vector (14 downto 0); + augend_15bit : in bit_vector (14 downto 0); + adder15_output : out bit_vector (15 downto 0) + ); + end component; + component adder_14bit + port ( + addend_14bit : in bit_vector (13 downto 0); + augend_14bit : in bit_vector (13 downto 0); + adder14_output : out bit_vector (14 downto 0) + ); + end component; + component adder_13bit + port ( + addend_13bit : in bit_vector (12 downto 0); + augend_13bit : in bit_vector (12 downto 0); + adder13_output : out bit_vector (13 downto 0) + ); + end component; + component adder_12bit + port ( + addend_12bit : in bit_vector (11 downto 0); + augend_12bit : in bit_vector (11 downto 0); + adder12_output : out bit_vector (12 downto 0) + ); + end component; + + signal fir_out : bit_vector (11 downto 0); + signal fir_in_01 : bit_vector (11 downto 0); + signal fir_in_02 : bit_vector (11 downto 0); + signal fir_in_03 : bit_vector (11 downto 0); + signal fir_in_04 : bit_vector (11 downto 0); + signal fir_in_05 : bit_vector (11 downto 0); + signal fir_in_06 : bit_vector (11 downto 0); + signal fir_in_07 : bit_vector (11 downto 0); + signal fir_in_08 : bit_vector (11 downto 0); + signal fir_in_09 : bit_vector (11 downto 0); + signal fir_in_10 : bit_vector (11 downto 0); + signal fir_in_11 : bit_vector (11 downto 0); + signal fir_in_12 : bit_vector (11 downto 0); + signal fir_in_13 : bit_vector (11 downto 0); + signal fir_in_14 : bit_vector (11 downto 0); + signal fir_in_15 : bit_vector (11 downto 0); + signal fir_in_16 : bit_vector (11 downto 0); + signal result_adder01 : bit_vector (12 downto 0); + signal result_adder02 : bit_vector (12 downto 0); + signal result_adder03 : bit_vector (12 downto 0); + signal result_adder04 : bit_vector (12 downto 0); + signal result_adder05 : bit_vector (12 downto 0); + signal result_adder06 : bit_vector (12 downto 0); + signal result_adder07 : bit_vector (12 downto 0); + signal result_adder08 : bit_vector (12 downto 0); + signal result_adder09 : bit_vector (13 downto 0); + signal result_adder10 : bit_vector (13 downto 0); + signal result_adder11 : bit_vector (13 downto 0); + signal result_adder12 : bit_vector (13 downto 0); + signal result_adder13 : bit_vector (14 downto 0); + signal result_adder14 : bit_vector (14 downto 0); + signal result_adder15 : bit_vector (15 downto 0); + + +begin + fir_in_01 <= fir_in; + +adder01 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_01, + augend_12bit(11 downto 0) => fir_in_02, + adder12_output => result_adder01 + ); + +adder02 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_03, + augend_12bit(11 downto 0) => fir_in_04, + adder12_output => result_adder02 + ); + +adder03 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_05, + augend_12bit(11 downto 0) => fir_in_06, + adder12_output => result_adder03 + ); + +adder04 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_07, + augend_12bit(11 downto 0) => fir_in_08, + adder12_output => result_adder04 + ); + +adder05 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_09, + augend_12bit(11 downto 0) => fir_in_10, + adder12_output => result_adder05 + ); + +adder06 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_11, + augend_12bit(11 downto 0) => fir_in_12, + adder12_output => result_adder06 + ); + +adder07 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_13, + augend_12bit(11 downto 0) => fir_in_14, + adder12_output => result_adder07 + ); + +adder08 : adder_12bit + port map ( + addend_12bit(11 downto 0) => fir_in_15, + augend_12bit(11 downto 0) => fir_in_16, + adder12_output => result_adder08 + ); + +adder09 : adder_13bit + port map ( + addend_13bit(12 downto 0) => result_adder01, + augend_13bit(12 downto 0) => result_adder02, + adder13_output => result_adder09 + ); + +adder10 : adder_13bit + port map ( + addend_13bit(12 downto 0) => result_adder03, + augend_13bit(12 downto 0) => result_adder04, + adder13_output => result_adder10 + ); + +adder11 : adder_13bit + port map ( + addend_13bit(12 downto 0) => result_adder05, + augend_13bit(12 downto 0) => result_adder06, + adder13_output => result_adder11 + ); + +adder12 : adder_13bit + port map ( + addend_13bit(12 downto 0) => result_adder07, + augend_13bit(12 downto 0) => result_adder08, + adder13_output => result_adder12 + ); + +adder13 : adder_14bit + port map ( + addend_14bit(13 downto 0) => result_adder09, + augend_14bit(13 downto 0) => result_adder10, + adder14_output => result_adder13 + ); + +adder14 : adder_14bit + port map ( + addend_14bit(13 downto 0) => result_adder11, + augend_14bit(13 downto 0) => result_adder12, + adder14_output => result_adder14 + ); + +adder15 : adder_15bit + port map ( + addend_15bit(14 downto 0) => result_adder13, + augend_15bit(14 downto 0) => result_adder14, + adder15_output => result_adder15 + ); + +fir_out(11) <= (result_adder15(15) and not(clear)); -- 1 +fir_out(10) <= (result_adder15(15) and not(clear)); -- 1/2 +fir_out(09) <= (result_adder15(15) and not(clear)); -- 1/4 +fir_out(08) <= (result_adder15(15) and not(clear)); -- 1/8 +fir_out(07) <= (result_adder15(15) and not(clear)); -- 1/16 +fir_out(06) <= (result_adder15(14) and not(clear)); +fir_out(05) <= (result_adder15(13) and not(clear)); +fir_out(04) <= (result_adder15(12) and not(clear)); +fir_out(03) <= (result_adder15(11) and not(clear)); +fir_out(02) <= (result_adder15(10) and not(clear)); +fir_out(01) <= (result_adder15(09) and not(clear)); +fir_out(00) <= (result_adder15(08) and not(clear)); + +process (clock) +begin +-- if (((clock = '1') and (not(clear) = '1')) and clock'event) then + if ((clock = '1') and clock'event) then + + fir_in_02 <= fir_in_01; + fir_in_03 <= fir_in_02; + fir_in_04 <= fir_in_03; + fir_in_05 <= fir_in_04; + fir_in_06 <= fir_in_05; + fir_in_07 <= fir_in_06; + fir_in_08 <= fir_in_07; + fir_in_09 <= fir_in_08; + fir_in_10 <= fir_in_09; + fir_in_11 <= fir_in_10; + fir_in_12 <= fir_in_11; + fir_in_13 <= fir_in_12; + fir_in_14 <= fir_in_13; + fir_in_15 <= fir_in_14; + fir_in_16 <= fir_in_15; + + dmout <= fir_out; + +-- elsif (clear = '1') then -- can't be synthesized in Xilinx +-- dmout <= (others => '0'); + + end if; +end process; +end structural; Index: tags/VSFR_1/source/adder_12bit.vhdl =================================================================== --- tags/VSFR_1/source/adder_12bit.vhdl (nonexistent) +++ tags/VSFR_1/source/adder_12bit.vhdl (revision 3) @@ -0,0 +1,178 @@ +-- $Id: adder_12bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Adder 12 bit +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : adder_12bit.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/01 +-- Last update : +-- Simulators : Modelsim 6.0 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Ripple carry adder 12 bit with output 13 bit +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity adder_12bit is + port ( + addend_12bit : in bit_vector (11 downto 0); + augend_12bit : in bit_vector (11 downto 0); + adder12_output: out bit_vector (12 downto 0) -- 13bit output + ); +end adder_12bit; + +architecture structural of adder_12bit is + + component fulladder + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); + end component; + +-- internal signal +signal over12 : bit; +signal adder12_output_int : bit_vector (12 downto 0); +signal c00 : bit; +signal c01 : bit; +signal c02 : bit; +signal c03 : bit; +signal c04 : bit; +signal c05 : bit; +signal c06 : bit; +signal c07 : bit; +signal c08 : bit; +signal c09 : bit; +signal c10 : bit; +signal c11 : bit; +signal c12 : bit; + +begin + +c00 <= '0'; +over12 <= (addend_12bit (11) xor augend_12bit (11)); +adder12_output_int (12) <= ((adder12_output_int (11) and over12) or + (c12 and (not (over12)))); +adder12_output <= adder12_output_int; + +fa11 : fulladder + port map ( + addend => addend_12bit(11), + augend => augend_12bit(11), + carry_in => c11, + sum => adder12_output_int(11), + carry => c12 + ); + +fa10 : fulladder + port map ( + addend => addend_12bit(10), + augend => augend_12bit(10), + carry_in => c10, + sum => adder12_output_int(10), + carry => c11 + ); + +fa09 : fulladder + port map ( + addend => addend_12bit(09), + augend => augend_12bit(09), + carry_in => c09, + sum => adder12_output_int(09), + carry => c10 + ); + +fa08 : fulladder + port map ( + addend => addend_12bit(08), + augend => augend_12bit(08), + carry_in => c08, + sum => adder12_output_int(08), + carry => c09 + ); + +fa07 : fulladder + port map ( + addend => addend_12bit(07), + augend => augend_12bit(07), + carry_in => c07, + sum => adder12_output_int(07), + carry => c08 + ); + +fa06 : fulladder + port map ( + addend => addend_12bit(06), + augend => augend_12bit(06), + carry_in => c06, + sum => adder12_output_int(06), + carry => c07 + ); + +fa05 : fulladder + port map ( + addend => addend_12bit(05), + augend => augend_12bit(05), + carry_in => c05, + sum => adder12_output_int(05), + carry => c06 + ); + +fa04 : fulladder + port map ( + addend => addend_12bit(04), + augend => augend_12bit(04), + carry_in => c04, + sum => adder12_output_int(04), + carry => c05 + ); + +fa03 : fulladder + port map ( + addend => addend_12bit(03), + augend => augend_12bit(03), + carry_in => c03, + sum => adder12_output_int(03), + carry => c04 + ); + +fa02 : fulladder + port map ( + addend => addend_12bit(02), + augend => augend_12bit(02), + carry_in => c02, + sum => adder12_output_int(02), + carry => c03 + ); + +fa01 : fulladder + port map ( + addend => addend_12bit(01), + augend => augend_12bit(01), + carry_in => c01, + sum => adder12_output_int(01), + carry => c02 + ); + +fa00 : fulladder + port map ( + addend => addend_12bit(00), + augend => augend_12bit(00), + carry_in => c00, + sum => adder12_output_int(00), + carry => c01 + ); + +end structural; Index: tags/VSFR_1/source/adder_13bit.vhdl =================================================================== --- tags/VSFR_1/source/adder_13bit.vhdl (nonexistent) +++ tags/VSFR_1/source/adder_13bit.vhdl (revision 3) @@ -0,0 +1,188 @@ +-- $Id: adder_13bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Adder 13 bit +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : adder_13bit.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/23 +-- Last update : +-- Simulators : Modelsim 6.0/Windows98 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Ripple carry adder 13 bit with output 14 bit +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity adder_13bit is + port ( + addend_13bit : in bit_vector (12 downto 0); + augend_13bit : in bit_vector (12 downto 0); + adder13_output: out bit_vector (13 downto 0) -- 14 bit output + ); +end adder_13bit; + +architecture structural of adder_13bit is + + component fulladder + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); + end component; + +-- internal signal +signal c00 : bit; +signal c01 : bit; +signal c02 : bit; +signal c03 : bit; +signal c04 : bit; +signal c05 : bit; +signal c06 : bit; +signal c07 : bit; +signal c08 : bit; +signal c09 : bit; +signal c10 : bit; +signal c11 : bit; +signal c12 : bit; +signal c13 : bit; +signal over13 : bit; +signal adder13_output_int : bit_vector (13 downto 0); + +begin + +c00 <= '0'; +over13 <= (addend_13bit (12) xor augend_13bit (12)); +adder13_output_int(13) <= ((adder13_output_int(12) and over13) or + (c13 and (not (over13)))); +adder13_output <= adder13_output_int; + +fa12 : fulladder + port map ( + addend => addend_13bit(12), + augend => augend_13bit(12), + carry_in => c12, + sum => adder13_output_int(12), + carry => c13 + ); + +fa11 : fulladder + port map ( + addend => addend_13bit(11), + augend => augend_13bit(11), + carry_in => c11, + sum => adder13_output_int(11), + carry => c12 + ); + +fa10 : fulladder + port map ( + addend => addend_13bit(10), + augend => augend_13bit(10), + carry_in => c10, + sum => adder13_output_int(10), + carry => c11 + ); + +fa09 : fulladder + port map ( + addend => addend_13bit(09), + augend => augend_13bit(09), + carry_in => c09, + sum => adder13_output_int(09), + carry => c10 + ); + +fa08 : fulladder + port map ( + addend => addend_13bit(08), + augend => augend_13bit(08), + carry_in => c08, + sum => adder13_output_int(08), + carry => c09 + ); + +fa07 : fulladder + port map ( + addend => addend_13bit(07), + augend => augend_13bit(07), + carry_in => c07, + sum => adder13_output_int(07), + carry => c08 + ); + +fa06 : fulladder + port map ( + addend => addend_13bit(06), + augend => augend_13bit(06), + carry_in => c06, + sum => adder13_output_int(06), + carry => c07 + ); + +fa05 : fulladder + port map ( + addend => addend_13bit(05), + augend => augend_13bit(05), + carry_in => c05, + sum => adder13_output_int(05), + carry => c06 + ); + +fa04 : fulladder + port map ( + addend => addend_13bit(04), + augend => augend_13bit(04), + carry_in => c04, + sum => adder13_output_int(04), + carry => c05 + ); + +fa03 : fulladder + port map ( + addend => addend_13bit(03), + augend => augend_13bit(03), + carry_in => c03, + sum => adder13_output_int(03), + carry => c04 + ); + +fa02 : fulladder + port map ( + addend => addend_13bit(02), + augend => augend_13bit(02), + carry_in => c02, + sum => adder13_output_int(02), + carry => c03 + ); + +fa01 : fulladder + port map ( + addend => addend_13bit(01), + augend => augend_13bit(01), + carry_in => c01, + sum => adder13_output_int(01), + carry => c02 + ); + +fa00 : fulladder + port map ( + addend => addend_13bit(00), + augend => augend_13bit(00), + carry_in => c00, + sum => adder13_output_int(00), + carry => c01 + ); + +end structural; Index: tags/VSFR_1/source/Makefile =================================================================== --- tags/VSFR_1/source/Makefile (nonexistent) +++ tags/VSFR_1/source/Makefile (revision 3) @@ -0,0 +1,29 @@ +# $Id: Makefile,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +# +all : fm +# vasy -V nco.vhdl +# vasy -V rom.vhdl +# vasy -V addacc.vhdl +# verilog : +# vasy -V -v nco.vhdl +# vasy -V -v rom.vhdl +# vasy -V -v addacc.vhdl +nco : nco.vhdl + vasy -V -H -o nco.vhdl +fm : fm.vhdl + vasy -V -H -o fm.vhdl +fm_v : fm.vhdl + vasy -V -H -o -v fm.vhdl +loop_filter: + vasy -V -H -o loop_filter.vhdl +clean_vhd : + rm -v -f *.vhd +clean_v : + rm -v -f *.v +clean_vbe : + rm -v -f *.vbe +clean_vst : + rm -v -f *.vst +clean : clean_vhd +# rm -v *~ +# echo "use make clean_vbe, clean_vhd, clean_v, clean_vst to clean up" Index: tags/VSFR_1/source/adder_14bit.vhdl =================================================================== --- tags/VSFR_1/source/adder_14bit.vhdl (nonexistent) +++ tags/VSFR_1/source/adder_14bit.vhdl (revision 3) @@ -0,0 +1,198 @@ +-- $Id: adder_14bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Adder 14 bit +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : adder_14bit.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/23 +-- Last update : +-- Simulators : Modelsim 6.0/Windows98 +-- Synthesizers: +-- Target : +------------------------------------------------------------------------------- +-- Description : Ripple carry adder 14 bit with output 15 bit +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; + +entity adder_14bit is + port ( + addend_14bit : in bit_vector (13 downto 0); + augend_14bit : in bit_vector (13 downto 0); + adder14_output: out bit_vector (14 downto 0) -- 15bit output + ); +end adder_14bit; + +architecture structural of adder_14bit is + + component fulladder + port ( + addend : in bit; + augend : in bit; + carry_in : in bit; + sum : out bit; + carry : out bit + ); + end component; + +-- internal signal +signal c00 : bit; +signal c01 : bit; +signal c02 : bit; +signal c03 : bit; +signal c04 : bit; +signal c05 : bit; +signal c06 : bit; +signal c07 : bit; +signal c08 : bit; +signal c09 : bit; +signal c10 : bit; +signal c11 : bit; +signal c12 : bit; +signal c13 : bit; +signal c14 : bit; +signal over14 : bit; +signal adder14_output_int : bit_vector (14 downto 0); + +begin + +c00 <= '0'; +over14 <= (addend_14bit (13) xor augend_14bit (13)); +adder14_output_int (14) <= ((adder14_output_int (13) and over14) or + (c14 and (not (over14)))); +adder14_output <= adder14_output_int; + +fa13 : fulladder + port map ( + addend => addend_14bit(13), + augend => augend_14bit(13), + carry_in => c13, + sum => adder14_output_int(13), + carry => c14 + ); + +fa12 : fulladder + port map ( + addend => addend_14bit(12), + augend => augend_14bit(12), + carry_in => c12, + sum => adder14_output_int(12), + carry => c13 + ); + +fa11 : fulladder + port map ( + addend => addend_14bit(11), + augend => augend_14bit(11), + carry_in => c11, + sum => adder14_output_int(11), + carry => c12 + ); + +fa10 : fulladder + port map ( + addend => addend_14bit(10), + augend => augend_14bit(10), + carry_in => c10, + sum => adder14_output_int(10), + carry => c11 + ); + +fa09 : fulladder + port map ( + addend => addend_14bit(09), + augend => augend_14bit(09), + carry_in => c09, + sum => adder14_output_int(09), + carry => c10 + ); + +fa08 : fulladder + port map ( + addend => addend_14bit(08), + augend => augend_14bit(08), + carry_in => c08, + sum => adder14_output_int(08), + carry => c09 + ); + +fa07 : fulladder + port map ( + addend => addend_14bit(07), + augend => augend_14bit(07), + carry_in => c07, + sum => adder14_output_int(07), + carry => c08 + ); + +fa06 : fulladder + port map ( + addend => addend_14bit(06), + augend => augend_14bit(06), + carry_in => c06, + sum => adder14_output_int(06), + carry => c07 + ); + +fa05 : fulladder + port map ( + addend => addend_14bit(05), + augend => augend_14bit(05), + carry_in => c05, + sum => adder14_output_int(05), + carry => c06 + ); + +fa04 : fulladder + port map ( + addend => addend_14bit(04), + augend => augend_14bit(04), + carry_in => c04, + sum => adder14_output_int(04), + carry => c05 + ); + +fa03 : fulladder + port map ( + addend => addend_14bit(03), + augend => augend_14bit(03), + carry_in => c03, + sum => adder14_output_int(03), + carry => c04 + ); + +fa02 : fulladder + port map ( + addend => addend_14bit(02), + augend => augend_14bit(02), + carry_in => c02, + sum => adder14_output_int(02), + carry => c03 + ); + +fa01 : fulladder + port map ( + addend => addend_14bit(01), + augend => augend_14bit(01), + carry_in => c01, + sum => adder14_output_int(01), + carry => c02 + ); + +fa00 : fulladder + port map ( + addend => addend_14bit(00), + augend => augend_14bit(00), + carry_in => c00, + sum => adder14_output_int(00), + carry => c01 + ); + +end structural; Index: tags/VSFR_1/export/vhd/Makefile =================================================================== --- tags/VSFR_1/export/vhd/Makefile (nonexistent) +++ tags/VSFR_1/export/vhd/Makefile (revision 3) @@ -0,0 +1,29 @@ +# [20041030] +# * initial write +# * changing structural +# Makefile for this directory +all : fm +# vasy -V nco.vhdl +# vasy -V rom.vhdl +# vasy -V addacc.vhdl +# verilog : +# vasy -V -v nco.vhdl +# vasy -V -v rom.vhdl +# vasy -V -v addacc.vhdl +nco : nco.vhdl + vasy -V -H -o nco.vhdl +fm : fm.vhdl + vasy -V -H -o fm.vhdl +fm_v : fm.vhdl + vasy -V -H -o -v fm.vhdl +clean_vhd : + rm -v -f *.vhd *.* +clean_v : + rm -v -f *.v +clean_vbe : + rm -v -f *.vbe +clean_vst : + rm -v -f *.vst +clean : +# rm -v *~ + echo "use make clean_vbe, clean_vhd, clean_v, clean_vst to clean up" Index: tags/VSFR_1/export/verilog/Makefile =================================================================== --- tags/VSFR_1/export/verilog/Makefile (nonexistent) +++ tags/VSFR_1/export/verilog/Makefile (revision 3) @@ -0,0 +1,31 @@ +# [20041030] +# * initial write +# * changing structural +# Makefile for this directory +all : fm +# vasy -V nco.vhdl +# vasy -V rom.vhdl +# vasy -V addacc.vhdl +# verilog : +# vasy -V -v nco.vhdl +# vasy -V -v rom.vhdl +# vasy -V -v addacc.vhdl +nco : nco.vhdl + vasy -V -H -o nco.vhdl +fm : fm.vhdl + vasy -V -H -o fm.vhdl +fm_v : fm.vhdl + vasy -V -H -o -v fm.vhdl +loop_filter: + vasy -V -H -o loop_filter.vhdl +clean_vhd : + rm -v -f *.vhd +clean_v : + rm -v -f *.v *.* +clean_vbe : + rm -v -f *.vbe +clean_vst : + rm -v -f *.vst +clean : +# rm -v *~ + echo "use make clean_vbe, clean_vhd, clean_v, clean_vst to clean up" Index: tags/VSFR_1/AUTHORS =================================================================== --- tags/VSFR_1/AUTHORS (nonexistent) +++ tags/VSFR_1/AUTHORS (revision 3) @@ -0,0 +1 @@ +"Arif E. Nugroho" Index: tags/VSFR_1/TODO =================================================================== --- tags/VSFR_1/TODO (nonexistent) +++ tags/VSFR_1/TODO (revision 3) @@ -0,0 +1,6 @@ +$Id: TODO,v 1.1.1.1 2005-01-04 02:05:54 arif_endro Exp $ + +* Add support to get 8 bit input signal in each clock, + so it's only need 1 bit signal input +* Make documentations +* Fix ALLIANCE Makefile Index: tags/VSFR_1/modelsim-bench/fmTri.txt =================================================================== --- tags/VSFR_1/modelsim-bench/fmTri.txt (nonexistent) +++ tags/VSFR_1/modelsim-bench/fmTri.txt (revision 3) @@ -0,0 +1,1000 @@ +01111111 +01110110 +01011011 +00110010 +00000010 +11010001 +10101000 +10001011 +10000000 +10001000 +10100010 +11001010 +11111010 +00101011 +01010110 +01110011 +01111111 +01111001 +01100000 +00111001 +00001001 +11011000 +10101101 +10001110 +10000000 +10000110 +10011110 +11000100 +11110100 +00100101 +01010001 +01110001 +01111111 +01111011 +01100100 +00111110 +00001111 +11011101 +10110001 +10010001 +10000001 +10000100 +10011010 +11000000 +11101111 +00100000 +01001101 +01101110 +01111111 +01111100 +01100111 +01000010 +00010011 +11100010 +10110101 +10010011 +10000010 +10000011 +10011000 +10111100 +11101011 +00011101 +01001010 +01101100 +01111110 +01111101 +01101001 +01000101 +00010111 +11100101 +10110111 +10010101 +10000010 +10000011 +10010110 +10111010 +11101000 +00011010 +01001000 +01101011 +01111110 +01111101 +01101010 +01000111 +00011001 +11100111 +10111001 +10010101 +10000010 +10000010 +10010110 +10111001 +11100111 +00011001 +01000111 +01101010 +01111110 +01111110 +01101011 +01000111 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111001 +11100111 +00011001 +01000111 +01101010 +01111110 +01111110 +01101010 +01000111 +00011001 +11100111 +10111000 +10010101 +10000010 +10000011 +10010110 +10111010 +11101000 +00011010 +01001000 +01101011 +01111110 +01111101 +01101001 +01000101 +00010111 +11100101 +10110111 +10010100 +10000010 +10000011 +10010111 +10111100 +11101011 +00011101 +01001010 +01101101 +01111110 +01111101 +01101000 +01000011 +00010100 +11100010 +10110100 +10010010 +10000001 +10000100 +10011010 +10111111 +11101110 +00100001 +01001110 +01101111 +01111111 +01111011 +01100101 +00111111 +00001111 +11011101 +10110000 +10010000 +10000001 +10000101 +10011101 +11000011 +11110011 +00100110 +01010010 +01110001 +01111111 +01111010 +01100001 +00111010 +00001001 +11011000 +10101100 +10001101 +10000000 +10000111 +10100001 +11001001 +11111010 +00101100 +01010111 +01110100 +01111111 +01111000 +01011101 +00110100 +00000010 +11010001 +10100111 +10001010 +10000000 +10001010 +10100110 +11010000 +00000001 +00110011 +01011100 +01110111 +01111111 +01110101 +01010111 +00101101 +11111011 +11001010 +10100001 +10000111 +10000000 +10001101 +10101011 +11010111 +00001001 +00111001 +01100001 +01111010 +01111111 +01110010 +01010010 +00100110 +11110100 +11000100 +10011101 +10000101 +10000001 +10010000 +10110000 +11011100 +00001110 +00111110 +01100101 +01111011 +01111111 +01101111 +01001110 +00100001 +11101111 +11000000 +10011010 +10000100 +10000001 +10010010 +10110011 +11100001 +00010011 +01000010 +01100111 +01111100 +01111110 +01101101 +01001011 +00011101 +11101011 +10111100 +10011000 +10000011 +10000010 +10010100 +10110110 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101100 +01001001 +00011011 +11101001 +10111010 +10010110 +10000011 +10000010 +10010101 +10111000 +11100110 +00011000 +01000110 +01101010 +01111101 +01111110 +01101011 +01001000 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111001 +11100111 +00011001 +01000111 +01101010 +01111101 +01111110 +01101011 +01000111 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111000 +11100110 +00011000 +01000110 +01101010 +01111101 +01111110 +01101011 +01001000 +00011010 +11101001 +10111010 +10010111 +10000011 +10000010 +10010100 +10110111 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101100 +01001010 +00011101 +11101011 +10111101 +10011000 +10000011 +10000010 +10010011 +10110100 +11100001 +00010011 +01000010 +01100111 +01111100 +01111111 +01101110 +01001101 +00100000 +11101111 +11000000 +10011011 +10000100 +10000001 +10010001 +10110001 +11011101 +00001111 +00111110 +01100100 +01111011 +01111111 +01110001 +01010001 +00100101 +11110100 +11000100 +10011110 +10000110 +10000000 +10001110 +10101101 +11011000 +00001001 +00111001 +01100000 +01111001 +01111111 +01110011 +01010110 +00101011 +11111010 +11001010 +10100010 +10001000 +10000000 +10001011 +10101000 +11010001 +00000010 +00110010 +01011011 +01110110 +01111111 +01110110 +01011011 +00110010 +00000010 +11010001 +10101000 +10001011 +10000000 +10001000 +10100010 +11001010 +11111010 +00101011 +01010110 +01110011 +01111111 +01111001 +01100000 +00111001 +00001001 +11011000 +10101101 +10001110 +10000000 +10000110 +10011110 +11000100 +11110100 +00100101 +01010001 +01110001 +01111111 +01111011 +01100100 +00111110 +00001111 +11011101 +10110001 +10010001 +10000001 +10000100 +10011010 +11000000 +11101111 +00100000 +01001101 +01101110 +01111111 +01111100 +01100111 +01000010 +00010011 +11100010 +10110101 +10010011 +10000010 +10000011 +10011000 +10111100 +11101011 +00011101 +01001010 +01101100 +01111110 +01111101 +01101001 +01000101 +00010111 +11100101 +10110111 +10010101 +10000010 +10000011 +10010110 +10111010 +11101000 +00011010 +01001000 +01101011 +01111110 +01111101 +01101010 +01000111 +00011001 +11100111 +10111001 +10010101 +10000010 +10000010 +10010110 +10111001 +11100111 +00011001 +01000111 +01101010 +01111110 +01111110 +01101011 +01000111 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111001 +11100111 +00011001 +01000111 +01101010 +01111110 +01111110 +01101010 +01000111 +00011001 +11100111 +10111000 +10010101 +10000010 +10000011 +10010110 +10111010 +11101000 +00011010 +01001000 +01101011 +01111110 +01111101 +01101001 +01000101 +00010111 +11100101 +10110111 +10010100 +10000010 +10000011 +10010111 +10111100 +11101011 +00011101 +01001010 +01101101 +01111110 +01111101 +01101000 +01000011 +00010100 +11100010 +10110100 +10010010 +10000001 +10000100 +10011010 +10111111 +11101110 +00100001 +01001110 +01101111 +01111111 +01111011 +01100101 +00111111 +00001111 +11011101 +10110000 +10010000 +10000001 +10000101 +10011101 +11000011 +11110011 +00100110 +01010010 +01110001 +01111111 +01111010 +01100001 +00111010 +00001001 +11011000 +10101100 +10001101 +10000000 +10000111 +10100001 +11001001 +11111010 +00101100 +01010111 +01110100 +01111111 +01111000 +01011101 +00110100 +00000010 +11010001 +10100111 +10001010 +10000000 +10001010 +10100110 +11010000 +00000001 +00110011 +01011100 +01110111 +01111111 +01110101 +01010111 +00101101 +11111011 +11001010 +10100001 +10000111 +10000000 +10001101 +10101011 +11010111 +00001001 +00111001 +01100001 +01111010 +01111111 +01110010 +01010010 +00100110 +11110100 +11000100 +10011101 +10000101 +10000001 +10010000 +10110000 +11011100 +00001110 +00111110 +01100101 +01111011 +01111111 +01101111 +01001110 +00100001 +11101111 +11000000 +10011010 +10000100 +10000001 +10010010 +10110011 +11100001 +00010011 +01000010 +01100111 +01111100 +01111110 +01101101 +01001011 +00011101 +11101011 +10111100 +10011000 +10000011 +10000010 +10010100 +10110110 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101100 +01001001 +00011011 +11101001 +10111010 +10010110 +10000011 +10000010 +10010101 +10111000 +11100110 +00011000 +01000110 +01101010 +01111101 +01111110 +01101011 +01001000 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111001 +11100111 +00011001 +01000111 +01101010 +01111101 +01111110 +01101011 +01000111 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111000 +11100110 +00011000 +01000110 +01101010 +01111101 +01111110 +01101011 +01001000 +00011010 +11101001 +10111010 +10010111 +10000011 +10000010 +10010100 +10110111 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101100 +01001010 +00011101 +11101011 +10111101 +10011000 +10000011 +10000010 +10010011 +10110100 +11100001 +00010011 +01000010 +01100111 +01111100 +01111111 +01101110 +01001101 +00100000 +11101111 +11000000 +10011011 +10000100 +10000001 +10010001 +10110001 +11011101 +00001111 +00111110 +01100100 +01111011 +01111111 +01110001 +01010001 +00100101 +11110100 +11000100 +10011110 +10000110 +10000000 +10001110 +10101101 +11011000 +00001001 +00111001 +01100000 +01111001 +01111111 +01110011 +01010110 +00101011 +11111010 +11001010 +10100010 +10001000 +10000000 +10001011 +10101000 +11010001 +00000010 +00110010 +01011011 +01110110 +01111111 +01110110 +01011011 +00110010 +00000010 +11010001 +10101000 +10001011 +10000000 +10001000 +10100010 +11001010 +11111010 +00101011 +01010110 +01110011 +01111111 +01111001 +01100000 +00111001 +00001001 +11011000 +10101101 +10001110 +10000000 +10000110 +10011110 +11000100 +11110100 +00100101 +01010001 +01110001 +01111111 +01111011 +01100100 +00111110 +00001111 +11011101 +10110001 +10010001 +10000001 +10000100 +10011010 +11000000 +11101111 +00100000 +01001101 +01101110 +01111111 +01111100 +01100111 +01000010 +00010011 +11100010 +10110101 +10010011 +10000010 +10000011 +10011000 +10111100 +11101011 +00011101 +01001010 +01101100 +01111110 +01111101 +01101001 +01000101 +00010111 +11100101 +10110111 +10010101 +10000010 +10000011 +10010110 +10111010 +11101000 +00011010 +01001000 +01101011 +01111110 +01111101 +01101010 +01000111 +00011001 +11100111 +10111001 +10010101 +10000010 +10000010 +10010110 +10111001 +11100111 +00011001 +01000111 +01101010 +01111110 +01111110 +01101011 +01000111 +00011001 +11100111 +10111001 +10010110 +10000011 +10000010 +10010101 +10111001 +11100111 +00011001 +01000111 +01101010 +01111110 +01111110 +01101010 +01000111 +00011001 +11100111 +10111000 +10010101 +10000010 +10000011 +10010110 +10111010 +11101000 +00011010 +01001000 +01101011 +01111110 +01111101 +01101001 +01000101 +00010111 +11100101 +10110111 +10010100 +10000010 +10000011 +10010111 +10111100 +11101011 +00011101 +01001010 +01101101 +01111110 +01111101 +01101000 +01000011 +00010100 +11100010 +10110100 +10010010 +10000001 +10000100 +10011010 +10111111 +11101110 +00100001 +01001110 +01101111 +01111111 +01111011 +01100101 +00111111 +00001111 +11011101 +10110000 +10010000 +10000001 +10000101 +10011101 +11000011 +11110011 +00100110 +01010010 +01110001 +01111111 +01111010 +01100001 +00111010 +00001001 +11011000 +10101100 +10001101 +10000000 +10000111 +10100001 +11001001 +11111010 +00101100 +01010111 +01110100 +01111111 +01111000 +01011101 +00110100 +00000010 +11010001 +10100111 +10001010 Index: tags/VSFR_1/modelsim-bench/fm.txt =================================================================== --- tags/VSFR_1/modelsim-bench/fm.txt (nonexistent) +++ tags/VSFR_1/modelsim-bench/fm.txt (revision 3) @@ -0,0 +1,1000 @@ +01111111 +01110110 +01011010 +00110000 +11111110 +11001101 +10100011 +10001000 +10000000 +10001100 +10101001 +11010100 +00000110 +00110111 +01011111 +01111001 +01111111 +01110011 +01010100 +00101000 +11110110 +11000101 +10011110 +10000110 +10000001 +10001111 +10101111 +11011100 +00001110 +00111110 +01100101 +01111011 +01111111 +01101111 +01001110 +00100000 +11101110 +10111110 +10011001 +10000100 +10000010 +10010011 +10110110 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101011 +01000111 +00011000 +11100110 +10111000 +10010100 +10000010 +10000011 +10011000 +10111100 +11101011 +00011110 +01001100 +01101110 +01111111 +01111100 +01100110 +01000000 +00010001 +11011110 +10110001 +10010000 +10000001 +10000101 +10011100 +11000011 +11110011 +00100110 +01010010 +01110010 +01111111 +01111010 +01100001 +00111001 +00001001 +11010111 +10101011 +10001101 +10000000 +10001000 +10100010 +11001010 +11111011 +00101101 +01011000 +01110101 +01111111 +01110111 +01011100 +00110010 +00000001 +11001111 +10100101 +10001001 +10000000 +10001011 +10100111 +11010010 +00000100 +00110101 +01011110 +01111000 +01111111 +01110100 +01010110 +00101010 +11111000 +11001000 +10100000 +10000111 +10000000 +10001110 +10101101 +11011001 +00001100 +00111100 +01100011 +01111011 +01111111 +01110000 +01010000 +00100011 +11110000 +11000001 +10011011 +10000100 +10000001 +10010010 +10110100 +11100001 +00010100 +01000011 +01101000 +01111101 +01111110 +01101100 +01001001 +00011011 +11101001 +10111010 +10010110 +10000010 +10000011 +10010110 +10111010 +11101001 +00011011 +01001010 +01101100 +01111110 +01111101 +01101000 +01000010 +00010011 +11100001 +10110011 +10010010 +10000001 +10000100 +10011011 +11000001 +11110001 +00100011 +01010000 +01110000 +01111111 +01111010 +01100011 +00111011 +00001011 +11011001 +10101101 +10001110 +10000000 +10000111 +10100000 +11001000 +11111001 +00101011 +01010110 +01110100 +01111111 +01111000 +01011101 +00110100 +00000011 +11010001 +10100111 +10001010 +10000000 +10001010 +10100101 +11001111 +11111111 +00110000 +01011001 +01110101 +01111111 +01111000 +01011101 +00110101 +00000101 +11010100 +10101010 +10001100 +10000000 +10000111 +10100000 +11000111 +11110111 +00101000 +01010011 +01110010 +01111111 +01111010 +01100011 +00111100 +00001101 +11011100 +10110000 +10010000 +10000001 +10000101 +10011011 +11000000 +11101111 +00100000 +01001101 +01101110 +01111111 +01111100 +01101000 +01000011 +00010101 +11100100 +10110110 +10010100 +10000010 +10000011 +10010110 +10111001 +11100111 +00011000 +01000110 +01101010 +01111101 +01111110 +01101100 +01001010 +00011101 +11101011 +10111101 +10011001 +10000100 +10000001 +10010010 +10110011 +11011111 +00010001 +00111111 +01100101 +01111011 +01111111 +01110000 +01010000 +00100101 +11110011 +11000100 +10011110 +10000110 +10000000 +10001110 +10101100 +11010111 +00001001 +00111000 +01100000 +01111001 +01111111 +01110100 +01010111 +00101100 +11111011 +11001011 +10100011 +10001001 +10000000 +10001011 +10100111 +11010000 +00000001 +00110001 +01011010 +01110110 +01111111 +01110111 +01011100 +00110100 +00000100 +11010011 +10101001 +10001100 +10000000 +10001000 +10100001 +11001001 +11111000 +00101001 +01010100 +01110011 +01111111 +01111010 +01100010 +00111011 +00001100 +11011010 +10101111 +10001111 +10000001 +10000101 +10011100 +11000001 +11110000 +00100010 +01001110 +01101111 +01111111 +01111100 +01100111 +01000010 +00010100 +11100010 +10110101 +10010011 +10000010 +10000011 +10010111 +10111011 +11101001 +00011010 +01001000 +01101010 +01111101 +01111110 +01101011 +01001001 +00011011 +11101010 +10111100 +10011000 +10000011 +10000010 +10010011 +10110100 +11100001 +00010010 +01000001 +01100110 +01111100 +01111111 +01101111 +01001111 +00100011 +11110010 +11000011 +10011101 +10000110 +10000001 +10001111 +10101110 +11011001 +00001010 +00111010 +01100001 +01111001 +01111111 +01110011 +01010101 +00101011 +11111010 +11001010 +10100010 +10001000 +10000000 +10001011 +10101000 +11010001 +00000010 +00110010 +01011011 +01110110 +01111111 +01110110 +01011010 +00110000 +11111110 +11001101 +10100011 +10001000 +10000000 +10001100 +10101001 +11010100 +00000110 +00110111 +01011111 +01111001 +01111111 +01110011 +01010100 +00101000 +11110110 +11000101 +10011110 +10000110 +10000001 +10001111 +10101111 +11011100 +00001110 +00111110 +01100101 +01111011 +01111111 +01101111 +01001110 +00100000 +11101110 +10111110 +10011001 +10000100 +10000010 +10010011 +10110110 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101011 +01000111 +00011000 +11100110 +10111000 +10010100 +10000010 +10000011 +10011000 +10111100 +11101011 +00011110 +01001100 +01101110 +01111111 +01111100 +01100110 +01000000 +00010001 +11011110 +10110001 +10010000 +10000001 +10000101 +10011100 +11000011 +11110011 +00100110 +01010010 +01110010 +01111111 +01111010 +01100001 +00111001 +00001001 +11010111 +10101011 +10001101 +10000000 +10001000 +10100010 +11001010 +11111011 +00101101 +01011000 +01110101 +01111111 +01110111 +01011100 +00110010 +00000001 +11001111 +10100101 +10001001 +10000000 +10001011 +10100111 +11010010 +00000100 +00110101 +01011110 +01111000 +01111111 +01110100 +01010110 +00101010 +11111000 +11001000 +10100000 +10000111 +10000000 +10001110 +10101101 +11011001 +00001100 +00111100 +01100011 +01111011 +01111111 +01110000 +01010000 +00100011 +11110000 +11000001 +10011011 +10000100 +10000001 +10010010 +10110100 +11100001 +00010100 +01000011 +01101000 +01111101 +01111110 +01101100 +01001001 +00011011 +11101001 +10111010 +10010110 +10000010 +10000011 +10010110 +10111010 +11101001 +00011011 +01001010 +01101100 +01111110 +01111101 +01101000 +01000010 +00010011 +11100001 +10110011 +10010010 +10000001 +10000100 +10011011 +11000001 +11110001 +00100011 +01010000 +01110000 +01111111 +01111010 +01100011 +00111011 +00001011 +11011001 +10101101 +10001110 +10000000 +10000111 +10100000 +11001000 +11111001 +00101011 +01010110 +01110100 +01111111 +01111000 +01011101 +00110100 +00000011 +11010001 +10100111 +10001010 +10000000 +10001010 +10100101 +11001111 +11111111 +00110000 +01011001 +01110101 +01111111 +01111000 +01011101 +00110101 +00000101 +11010100 +10101010 +10001100 +10000000 +10000111 +10100000 +11000111 +11110111 +00101000 +01010011 +01110010 +01111111 +01111010 +01100011 +00111100 +00001101 +11011100 +10110000 +10010000 +10000001 +10000101 +10011011 +11000000 +11101111 +00100000 +01001101 +01101110 +01111111 +01111100 +01101000 +01000011 +00010101 +11100100 +10110110 +10010100 +10000010 +10000011 +10010110 +10111001 +11100111 +00011000 +01000110 +01101010 +01111101 +01111110 +01101100 +01001010 +00011101 +11101011 +10111101 +10011001 +10000100 +10000001 +10010010 +10110011 +11011111 +00010001 +00111111 +01100101 +01111011 +01111111 +01110000 +01010000 +00100101 +11110011 +11000100 +10011110 +10000110 +10000000 +10001110 +10101100 +11010111 +00001001 +00111000 +01100000 +01111001 +01111111 +01110100 +01010111 +00101100 +11111011 +11001011 +10100011 +10001001 +10000000 +10001011 +10100111 +11010000 +00000001 +00110001 +01011010 +01110110 +01111111 +01110111 +01011100 +00110100 +00000100 +11010011 +10101001 +10001100 +10000000 +10001000 +10100001 +11001001 +11111000 +00101001 +01010100 +01110011 +01111111 +01111010 +01100010 +00111011 +00001100 +11011010 +10101111 +10001111 +10000001 +10000101 +10011100 +11000001 +11110000 +00100010 +01001110 +01101111 +01111111 +01111100 +01100111 +01000010 +00010100 +11100010 +10110101 +10010011 +10000010 +10000011 +10010111 +10111011 +11101001 +00011010 +01001000 +01101010 +01111101 +01111110 +01101011 +01001001 +00011011 +11101010 +10111100 +10011000 +10000011 +10000010 +10010011 +10110100 +11100001 +00010010 +01000001 +01100110 +01111100 +01111111 +01101111 +01001111 +00100011 +11110010 +11000011 +10011101 +10000110 +10000001 +10001111 +10101110 +11011001 +00001010 +00111010 +01100001 +01111001 +01111111 +01110011 +01010101 +00101011 +11111010 +11001010 +10100010 +10001000 +10000000 +10001011 +10101000 +11010001 +00000010 +00110010 +01011011 +01110110 +01111111 +01110110 +01011010 +00110000 +11111110 +11001101 +10100011 +10001000 +10000000 +10001100 +10101001 +11010100 +00000110 +00110111 +01011111 +01111001 +01111111 +01110011 +01010100 +00101000 +11110110 +11000101 +10011110 +10000110 +10000001 +10001111 +10101111 +11011100 +00001110 +00111110 +01100101 +01111011 +01111111 +01101111 +01001110 +00100000 +11101110 +10111110 +10011001 +10000100 +10000010 +10010011 +10110110 +11100100 +00010110 +01000101 +01101001 +01111101 +01111110 +01101011 +01000111 +00011000 +11100110 +10111000 +10010100 +10000010 +10000011 +10011000 +10111100 +11101011 +00011110 +01001100 +01101110 +01111111 +01111100 +01100110 +01000000 +00010001 +11011110 +10110001 +10010000 +10000001 +10000101 +10011100 +11000011 +11110011 +00100110 +01010010 +01110010 +01111111 +01111010 +01100001 +00111001 +00001001 +11010111 +10101011 +10001101 +10000000 +10001000 +10100010 +11001010 +11111011 +00101101 +01011000 +01110101 +01111111 +01110111 +01011100 +00110010 +00000001 +11001111 +10100101 +10001001 +10000000 +10001011 +10100111 +11010010 +00000100 +00110101 +01011110 +01111000 +01111111 +01110100 +01010110 +00101010 +11111000 +11001000 +10100000 +10000111 +10000000 +10001110 +10101101 +11011001 +00001100 +00111100 +01100011 +01111011 +01111111 +01110000 +01010000 +00100011 +11110000 +11000001 +10011011 +10000100 +10000001 +10010010 +10110100 +11100001 +00010100 +01000011 +01101000 +01111101 +01111110 +01101100 +01001001 +00011011 +11101001 +10111010 +10010110 +10000010 +10000011 +10010110 +10111010 +11101001 +00011011 +01001010 +01101100 +01111110 +01111101 +01101000 +01000010 +00010011 +11100001 +10110011 +10010010 +10000001 +10000100 +10011011 +11000001 +11110001 +00100011 +01010000 +01110000 +01111111 +01111010 +01100011 +00111011 +00001011 +11011001 +10101101 +10001110 +10000000 +10000111 +10100000 +11001000 +11111001 +00101011 +01010110 +01110100 +01111111 +01111000 +01011101 +00110100 +00000011 +11010001 +10100111 +10001010 +10000000 +10001010 Index: tags/VSFR_1/modelsim-bench/bench.v =================================================================== --- tags/VSFR_1/modelsim-bench/bench.v (nonexistent) +++ tags/VSFR_1/modelsim-bench/bench.v (revision 3) @@ -0,0 +1,23 @@ +module bench (clock, reset); + + input clock; + input reset; + + wire [7:0] test_signal_fm; + wire [7:0] test_signal_fmtri; + wire [11:0] output_fm; + + fm myfm ( + .clk(clock), + .reset(reset), + .fmin(test_signal_fm), + .dmout(output_fm) + ); + input_fm myinput ( + .clock(clock), + .clear(reset), + .test_signal_fm(test_signal_fm), + .test_signal_fmtri(test_signal_fmtri) + ); + +endmodule Index: tags/VSFR_1/modelsim-bench/input_fm.v =================================================================== --- tags/VSFR_1/modelsim-bench/input_fm.v (nonexistent) +++ tags/VSFR_1/modelsim-bench/input_fm.v (revision 3) @@ -0,0 +1,4218 @@ +module input_fm (clock, clear, test_signal_fm, test_signal_fmtri); + + input clock; + input clear; + output [7:0] test_signal_fm; + output [7:0] test_signal_fmtri; + reg [7:0] test_signal_fm; + reg [7:0] test_signal_fmtri; + + reg [7:0] test_signal_fm_int; + reg [7:0] test_signal_fmtri_int; + reg [9:0] counter; + wire [10:0] counter_tmp; + wire [9:0] one_increment; + + always @ ( counter ) + if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00110100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00101100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11110011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00101011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00110010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00110010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01010001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100100; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11010111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11111011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00101101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01011100; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00110011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00000001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11010000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00110100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00101100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10001101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10101100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01010010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11110011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11000011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00111111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00100001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11101000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) +== 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00101011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00110010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00110010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100100; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b11101001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01001011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01101101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11010111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11111011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00101101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01011100; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00110011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00000001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11010000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00110100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00101100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11110011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b00010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000111; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b00010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000101; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fmtri_int = 8'b01111101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10111100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11100010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01000010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01101110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01001101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11101111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10010001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10110001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111110; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11110100; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00001001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00111001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01100000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01111001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01110011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b01010110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00101011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11001010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10001011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b11010001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fmtri_int = 8'b00110010; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fmtri_int = 8'b01110110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9]) +) == 1'b1) test_signal_fmtri_int = 8'b01111111; + else test_signal_fmtri_int = 8'b00000000; + + always @ ( counter ) + if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011101; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101101; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100011; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111100; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001000; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01110111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b11110010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01001111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01110111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01110000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111101; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01101100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b10010110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b10110110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b00010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01101000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110000; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100011; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01011101; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00000011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00110100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01011101; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11001000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b10100000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b10101101; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b00001011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b00111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01100011; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01110000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01001001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01101100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01101000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01000011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10110100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10010010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01110000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b01100011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00111100; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b00001100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10101101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b10001110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b11001000; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1) test_signal_fm_int = 8'b11111000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b00101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) == 1'b1 +) test_signal_fm_int = 8'b01010110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & counter[9]) +== 1'b1) test_signal_fm_int = 8'b01110100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b11111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10000101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b11011010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b00111011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01100010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111010; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01110100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000100; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01110000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111111; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10010010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10111101; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b00011101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01001010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & counter[8] & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01101100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111100; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000101; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110000; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01100011; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000101; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01011101; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011001; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10100101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10100111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b00000011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b00110100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01011101; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101101; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100011; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111010; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010000; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110011; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01101000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001010; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111010; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01101100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000011; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10010010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b11000001; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b00100011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01010000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & counter[7] & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01110000; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111100; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101101; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001110; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000111; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001000; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111000; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01110111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101101; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11111011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100010; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001101; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101011; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010111; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100001; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01111010; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110010; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010010; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000011; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b10000101; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010000; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110001; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b11011110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010001; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01000000; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1 +) test_signal_fm_int = 8'b01100110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & counter[6] & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01111100; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] & + ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111111; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101110; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001100; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101011; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011000; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000011; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010100; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111000; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00011000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111110; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111101; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101001; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00010110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11100100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10110110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10010011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000010; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000100; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011001; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11101110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00100000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01001110; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & counter[5] + & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01101111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & counter[5] & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111011; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01100101; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00111110; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00001110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11011100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101111; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001111; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000001; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10000110; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10011110; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11000101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11110110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00101000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01010100; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01110011; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & counter[4] & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01111111; + else if ((counter[0] & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01111001; + else if ((~(counter[0]) & counter[1] & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b01011111; + else if ((counter[0] & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110111; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00000110; + else if ((counter[0] & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11010100; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10101001; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001100; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & counter[3] & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b10000000; + else if ((counter[0] & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10001000; + else if ((~(counter[0]) & counter[1] & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b10100011; + else if ((counter[0] & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b11001101; + else if ((~(counter[0]) & ~(counter[1]) & counter[2] & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b11111110; + else if ((counter[0] & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & ~(counter[5] +) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) == 1'b1) test_signal_fm_int = 8'b00110000; + else if ((~(counter[0]) & counter[1] & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01011010; + else if ((counter[0] & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) & +~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9])) +== 1'b1) test_signal_fm_int = 8'b01110110; + else if ((~(counter[0]) & ~(counter[1]) & ~(counter[2]) & ~(counter[3]) & ~(counter[4]) + & ~(counter[5]) & ~(counter[6]) & ~(counter[7]) & ~(counter[8]) & ~(counter[9]) +) == 1'b1) test_signal_fm_int = 8'b01111111; + else test_signal_fm_int = 8'b00000000; + + always @ ( posedge clock ) + begin + if (clear == 1'b0) test_signal_fmtri = test_signal_fmtri_int; + end + + always @ ( posedge clear or posedge clock ) + if (clear == 1'b1) test_signal_fm = 8'b00000000; + else + begin + test_signal_fm = test_signal_fm_int; + end + + always @ ( posedge clear or posedge clock ) + if (clear == 1'b1) counter = 10'b0000000000; + else + begin + counter = counter_tmp[9:0]; + end + assign one_increment[9] = 1'b0; + assign one_increment[8] = 1'b0; + assign one_increment[7] = 1'b0; + assign one_increment[6] = 1'b0; + assign one_increment[5] = 1'b0; + assign one_increment[4] = 1'b0; + assign one_increment[3] = 1'b0; + assign one_increment[2] = 1'b0; + assign one_increment[1] = 1'b0; + assign one_increment[0] = 1'b1; + adder_10bit counter_one ( + .addend_10bit(counter), + .augend_10bit(one_increment), + .adder10_output(counter_tmp) + ); + +endmodule Index: tags/VSFR_1/modelsim-bench/bench.vhdl =================================================================== --- tags/VSFR_1/modelsim-bench/bench.vhdl (nonexistent) +++ tags/VSFR_1/modelsim-bench/bench.vhdl (revision 3) @@ -0,0 +1,62 @@ +-- $Id: bench.vhdl,v 1.1.1.1 2005-01-04 02:06:01 arif_endro Exp $ +-- ************************************************************** +-- Arif E. Nugroho +-- ************************************************************** + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; +use IEEE.STD_LOGIC_unsigned.ALL; + +entity bench is +-- port ( +-- clock : out bit; +-- fmout : out bit; +-- reset : out bit; +-- ); +end bench; + +architecture structural of bench is + component fm + port ( + CLK : in bit; + RESET : in bit; + FMIN : in bit_vector (07 downto 0); + DMOUT : out bit_vector (11 downto 0) + ); + end component; + + component input + port ( + clock_out : out bit; + test_signal_fm : out bit_vector (07 downto 0); + test_signal_fmTri: out bit_vector (07 downto 0); + signal_fm_bit : out bit; + signal_fmTri_bit : out bit + ); + end component; + signal clock : bit; + signal reset : bit; + signal signal_fm : bit; + signal signal_fmTri: bit; + signal test_signal_fm : bit_vector (07 downto 0); + signal test_signal_fmTri : bit_vector (07 downto 0); + signal output_fm : bit_vector (11 downto 0); + begin + reset <= '0'; + myinput : input + port map ( + clock_out => clock, + test_signal_fm => test_signal_fm, + test_signal_fmTri=> test_signal_fmTri, + signal_fm_bit => signal_fm, + signal_fmTri_bit => signal_fmTri + ); + myfm : fm + port map ( + CLK => clock, + RESET => reset, + FMIN => test_signal_fm, + DMOUT (11 downto 0) => output_fm + ); +end structural; Index: tags/VSFR_1/modelsim-bench/input.vhdl =================================================================== --- tags/VSFR_1/modelsim-bench/input.vhdl (nonexistent) +++ tags/VSFR_1/modelsim-bench/input.vhdl (revision 3) @@ -0,0 +1,108 @@ +-- $Id: input.vhdl,v 1.1.1.1 2005-01-04 02:06:00 arif_endro Exp $ +-- ************************************************************** +-- Arif E. Nugroho +-- [20041110] +-- * Derived from testbench from modeltech +-- ************************************************************** + +library IEEE; +library STD; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; +use IEEE.STD_LOGIC_unsigned.ALL; +use STD.TEXTIO.ALL; + +entity input is + port ( + clock_out : out bit; + test_signal_fm : out bit_vector (07 downto 0); + test_signal_fmTri: out bit_vector (07 downto 0); + signal_fm_bit : out bit; + signal_fmTri_bit : out bit + ); +end input; + +architecture test_bench of input is +type char_to_stdlogic_t is array (character) of std_logic; +file file_pointer_fm : text open read_mode is "fm.txt"; +file file_pointer_fmTri : text open read_mode is "fmTri.txt"; +constant to_std_logic : char_to_stdlogic_t := ( + 'U' => 'U', + 'X' => 'X', + '0' => '0', + '1' => '1', + 'Z' => 'Z', + 'W' => 'W', + 'L' => 'L', + 'H' => 'H', + '-' => '-', + others => 'X' + ); +-- signal test_signal_fm : std_logic_vector ( 7 downto 0); +-- signal test_signal_fmTri : std_logic_vector ( 7 downto 0); +signal test_signal_fm_bit : std_logic; +signal test_signal_fmTri_bit : std_logic; +signal clock : std_logic; +begin + process + variable line_input_fm : line; + variable line_input_fmTri : line; +-- variable first_char : character := '#'; + variable test_vector_fm : string(1 to 8) := " "; + variable test_vector_fmTri : string(1 to 8) := " "; + variable input_length_fm : integer; + variable input_length_fmTri : integer; + variable delay_time : time := 1 ns; + variable test_var_fm : std_logic_vector ( 7 downto 0); + variable test_var_fmTri : std_logic_vector ( 7 downto 0); + begin + while not (endfile(file_pointer_fm) and endfile(file_pointer_fmTri)) loop + readline(file_pointer_fm, line_input_fm); + readline(file_pointer_fmTri, line_input_fmTri); + if (line_input_fm /= NULL) and (line_input_fm'length > 0) and (line_input_fmTri /= NULL) and (line_input_fmTri'length > 0) then +-- read(line_number, first_char); +-- if (first_char /= '#') then + read(line_input_fm, test_vector_fm); + read(line_input_fmTri, test_vector_fmTri); + input_length_fm := test_vector_fm'length - 1; + input_length_fmTri := test_vector_fmTri'length - 1; + for a in test_vector_fm'range loop + test_var_fm(input_length_fm) := to_std_logic(test_vector_fm(a)); + test_signal_fm_bit <= to_std_logic(test_vector_fm(a)); + input_length_fm := input_length_fm - 1; + end loop; + for a in test_vector_fmTri'range loop + test_var_fmTri(input_length_fmTri) := to_std_logic(test_vector_fmTri(a)); + test_signal_fmTri_bit <= to_std_logic(test_vector_fmTri(a)); + input_length_fmTri := input_length_fmTri - 1; + end loop; + test_signal_fm <= to_bitvector(test_var_fm);-- after 1 ns; + test_signal_fmTri <= to_bitvector(test_var_fmTri);-- after 1 ns; +-- Show current progress +-- report "Current line input is: Vector values are : "; +-- end loop; +-- report "End of input test vector fm.txt"; +-- wait; + clock <= '1'; + wait for delay_time; + clock <= '0'; + wait for delay_time; + -- fmTri --START HERE-- +-- while not endfile(file_pointer_fmTri) loop +-- read(line_number, first_char); +-- if (first_char /= '#') then +-- clock <= '1'; +-- wait for delay_time; +-- clock <= '0'; +-- wait for delay_time; +-- Show current progress +-- report "Current line input is: Vector values are : "; + end if; + end loop; +-- report "End of input test vector fmTri.txt"; + wait; + end process; +signal_fm_bit <= to_bit(test_signal_fm_bit); +signal_fmTri_bit <= to_bit(test_signal_fmTri_bit); +clock_out <= to_bit(clock); +end test_bench; Index: tags/VSFR_1/modelsim-bench/Makefile =================================================================== --- tags/VSFR_1/modelsim-bench/Makefile (nonexistent) +++ tags/VSFR_1/modelsim-bench/Makefile (revision 3) @@ -0,0 +1,15 @@ +# $Id: Makefile,v 1.1.1.1 2005-01-04 02:06:00 arif_endro Exp $ +# + +all : + vasy -V -o bench.vhdl +verilog : + vasy -V -v -o bench.vhdl +clean_vhd : + rm -v -f *.vhd +clean_v : + rm -v -f *.v +clean_vbe : + rm -v -f *.vbe +clean : + echo "use make clean_vbe, clean_vhd, clean_v to clean up" Index: tags/VSFR_1/Changelog =================================================================== --- tags/VSFR_1/Changelog (nonexistent) +++ tags/VSFR_1/Changelog (revision 3) @@ -0,0 +1,11 @@ +[20050103] Clean up all do file +[20041227] First time working +[20041221] Fix adder and multiply function +[20041218] Remove clock signal acros adder component + - Reorganize phase detector +[20041223] Clean up all comment +[20041227] Fix conversion between signed to unsigned by + - shifting 4 bit left + - Finished first level of FM Demodulator +[20041228] Adder header in each design file. + - rename project directory into fm_receiver Index: tags/VSFR_1/docs/Makefile =================================================================== --- tags/VSFR_1/docs/Makefile (nonexistent) +++ tags/VSFR_1/docs/Makefile (revision 3) @@ -0,0 +1,36 @@ +# $Id: Makefile,v 1.1.1.1 2005-01-04 02:05:55 arif_endro Exp $ + +MYFILE = report + +all : docs + +pdf : dvi + dvipdf $(MYFILE).dvi +# mv -v $(MYFILE).pdf ../ + +ps : dvi + dvips -f $(MYFILE).dvi -o $(MYFILE).ps +# mv -v $(MYFILE).ps ../ +# dvips -f $(MYFILE).dvi > $(MYFILE).ps + +# %.eps : %.fig +# fig2dev -L eps $< > $@ +# +print : dvi + dvips $(MYFILE).dvi + +dvi : $(MYFILE).tex + latex $(MYFILE).tex + +docs : pdf ps + mv -v $(MYFILE).pdf ../ + mv -v $(MYFILE).ps ../ + +xdvi : dvi + xdvi $(MYFILE).dvi + +clean : + rm -v -f $(MYFILE).ps $(MYFILE).pdf $(MYFILE).log $(MYFILE).dvi $(MYFILE).aux $(MYFILE).toc +# ../$(MYFILE).pdf ../$(MYFILE).ps +real_clean: clean + rm -v -f ../$(MYFILE).pdf ../$(MYFILE).ps Index: tags/VSFR_1/bench_xil/bench_xil.vhdl =================================================================== --- tags/VSFR_1/bench_xil/bench_xil.vhdl (nonexistent) +++ tags/VSFR_1/bench_xil/bench_xil.vhdl (revision 3) @@ -0,0 +1,76 @@ +-- $Id: bench_xil.vhdl,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Test Bench For Xilinx +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : bench.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/23 +-- Last update : 2005/01/02 +-- Simulators : Modelsim 6.0 +-- Synthesizers: Xilinx 6.3i +-- Target : +------------------------------------------------------------------------------- +-- Description : Test bench for FM receiver +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_arith.ALL; +use IEEE.STD_LOGIC_unsigned.ALL; + +entity bench is +port ( + clock : in std_logic; + reset : in std_logic + ); +end bench; + +architecture structural of bench is + component fm + port ( + CLK : in std_logic; + RESET : in std_logic; + FMIN : in std_logic_vector (07 downto 0); + DMOUT : out std_logic_vector (11 downto 0) + ); + end component; + + component input_fm + port ( + clock : in std_logic; + clear : in std_logic; + test_signal_fm : out bit_vector (07 downto 0); + test_signal_fmTri: out bit_vector (07 downto 0) + ); + end component; + + signal test_signal_fm : bit_vector (07 downto 0); + signal test_signal_fm_std : std_logic_vector (07 downto 0); + signal test_signal_fmTri : bit_vector (07 downto 0); + signal test_signal_fmTri_std : std_logic_vector (07 downto 0); + signal output_fm_std : std_logic_vector (11 downto 0); + + begin + test_signal_fm_std <= to_stdlogicvector (test_signal_fm); + test_signal_fmTri_std <= to_stdlogicvector (test_signal_fmTri); + + myinput : input_fm + port map ( + clock => clock, + clear => reset, + test_signal_fm => test_signal_fm, + test_signal_fmTri=> test_signal_fmTri + ); + myfm : fm + port map ( + CLK => clock, + RESET => reset, + FMIN => test_signal_fm_std, + DMOUT (11 downto 0) => output_fm_std + ); +end structural; Index: tags/VSFR_1/bench_xil/input_fm_xil.vhdl =================================================================== --- tags/VSFR_1/bench_xil/input_fm_xil.vhdl (nonexistent) +++ tags/VSFR_1/bench_xil/input_fm_xil.vhdl (revision 3) @@ -0,0 +1,2098 @@ +-- $Id: input_fm_xil.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $ +------------------------------------------------------------------------------- +-- Title : Input signal FM For Xilinx +-- Project : FM Receiver +------------------------------------------------------------------------------- +-- File : input_fm.vhdl +-- Author : "Arif E. Nugroho" +-- Created : 2004/12/22 +-- Last update : 2005/01/02 +-- Simulators : Modelsim 6.0 +-- Synthesizers: Xilinx 6.3i +-- Target : +------------------------------------------------------------------------------- +-- Description : Input signal FM 1000 signal +------------------------------------------------------------------------------- +-- Copyright (c) 2004 Arif E. Nugroho +-- This VHDL design file is an open design; you can redistribute it and/or +-- modify it and/or implement it after contacting the author +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_arith.all; + +entity input_fm is + port ( + clock : in std_logic; + clear : in std_logic; + test_signal_fm : out bit_vector (07 downto 0); + test_signal_fmTri : out bit_vector (07 downto 0) + ); +end input_fm; + +architecture input_data of input_fm is +component adder_10bit + port ( + addend_10bit : in bit_vector (09 downto 0); + augend_10bit : in bit_vector (09 downto 0); + adder10_output : out bit_vector (10 downto 0) + ); +end component; + +signal test_signal_fm_int : bit_vector (07 downto 0); +signal test_signal_fmTri_int : bit_vector (07 downto 0); +signal counter : bit_vector (09 downto 0); +signal counter_tmp : bit_vector (10 downto 0); +signal one_increment : bit_vector (09 downto 0); +begin + + + one_increment (00) <= '1'; + one_increment (01) <= '0'; + one_increment (02) <= '0'; + one_increment (03) <= '0'; + one_increment (04) <= '0'; + one_increment (05) <= '0'; + one_increment (06) <= '0'; + one_increment (07) <= '0'; + one_increment (08) <= '0'; + one_increment (09) <= '0'; + +counter_one : adder_10bit + port map ( + addend_10bit => counter, + augend_10bit => one_increment, + adder10_output => counter_tmp + ); + +process (clock, clear) +begin + if (((clock = '1') and (not( clear = '1'))) and clock'event) then + counter(09 downto 0) <= counter_tmp(09 downto 0); + test_signal_fm <= test_signal_fm_int; + test_signal_fmTri <= test_signal_fmTri_int; + elsif (clear = '1') then + counter <= (others => '0'); + test_signal_fm <= (others => '0'); + test_signal_fmTri <= (others => '0'); + end if; +end process; + + with counter (09 downto 0) select + test_signal_fm_int <= +-- START INPUT FM SIGNAL + + B"01111111" when B"0000000000", -- INDEX 0 + B"01110110" when B"0000000001", -- INDEX 1 + B"01011010" when B"0000000010", -- INDEX 2 + B"00110000" when B"0000000011", -- INDEX 3 + B"11111110" when B"0000000100", -- INDEX 4 + B"11001101" when B"0000000101", -- INDEX 5 + B"10100011" when B"0000000110", -- INDEX 6 + B"10001000" when B"0000000111", -- INDEX 7 + B"10000000" when B"0000001000", -- INDEX 8 + B"10001100" when B"0000001001", -- INDEX 9 + B"10101001" when B"0000001010", -- INDEX 10 + B"11010100" when B"0000001011", -- INDEX 11 + B"00000110" when B"0000001100", -- INDEX 12 + B"00110111" when B"0000001101", -- INDEX 13 + B"01011111" when B"0000001110", -- INDEX 14 + B"01111001" when B"0000001111", -- INDEX 15 + B"01111111" when B"0000010000", -- INDEX 16 + B"01110011" when B"0000010001", -- INDEX 17 + B"01010100" when B"0000010010", -- INDEX 18 + B"00101000" when B"0000010011", -- INDEX 19 + B"11110110" when B"0000010100", -- INDEX 20 + B"11000101" when B"0000010101", -- INDEX 21 + B"10011110" when B"0000010110", -- INDEX 22 + B"10000110" when B"0000010111", -- INDEX 23 + B"10000001" when B"0000011000", -- INDEX 24 + B"10001111" when B"0000011001", -- INDEX 25 + B"10101111" when B"0000011010", -- INDEX 26 + B"11011100" when B"0000011011", -- INDEX 27 + B"00001110" when B"0000011100", -- INDEX 28 + B"00111110" when B"0000011101", -- INDEX 29 + B"01100101" when B"0000011110", -- INDEX 30 + B"01111011" when B"0000011111", -- INDEX 31 + B"01111111" when B"0000100000", -- INDEX 32 + B"01101111" when B"0000100001", -- INDEX 33 + B"01001110" when B"0000100010", -- INDEX 34 + B"00100000" when B"0000100011", -- INDEX 35 + B"11101110" when B"0000100100", -- INDEX 36 + B"10111110" when B"0000100101", -- INDEX 37 + B"10011001" when B"0000100110", -- INDEX 38 + B"10000100" when B"0000100111", -- INDEX 39 + B"10000010" when B"0000101000", -- INDEX 40 + B"10010011" when B"0000101001", -- INDEX 41 + B"10110110" when B"0000101010", -- INDEX 42 + B"11100100" when B"0000101011", -- INDEX 43 + B"00010110" when B"0000101100", -- INDEX 44 + B"01000101" when B"0000101101", -- INDEX 45 + B"01101001" when B"0000101110", -- INDEX 46 + B"01111101" when B"0000101111", -- INDEX 47 + B"01111110" when B"0000110000", -- INDEX 48 + B"01101011" when B"0000110001", -- INDEX 49 + B"01000111" when B"0000110010", -- INDEX 50 + B"00011000" when B"0000110011", -- INDEX 51 + B"11100110" when B"0000110100", -- INDEX 52 + B"10111000" when B"0000110101", -- INDEX 53 + B"10010100" when B"0000110110", -- INDEX 54 + B"10000010" when B"0000110111", -- INDEX 55 + B"10000011" when B"0000111000", -- INDEX 56 + B"10011000" when B"0000111001", -- INDEX 57 + B"10111100" when B"0000111010", -- INDEX 58 + B"11101011" when B"0000111011", -- INDEX 59 + B"00011110" when B"0000111100", -- INDEX 60 + B"01001100" when B"0000111101", -- INDEX 61 + B"01101110" when B"0000111110", -- INDEX 62 + B"01111111" when B"0000111111", -- INDEX 63 + B"01111100" when B"0001000000", -- INDEX 64 + B"01100110" when B"0001000001", -- INDEX 65 + B"01000000" when B"0001000010", -- INDEX 66 + B"00010001" when B"0001000011", -- INDEX 67 + B"11011110" when B"0001000100", -- INDEX 68 + B"10110001" when B"0001000101", -- INDEX 69 + B"10010000" when B"0001000110", -- INDEX 70 + B"10000001" when B"0001000111", -- INDEX 71 + B"10000101" when B"0001001000", -- INDEX 72 + B"10011100" when B"0001001001", -- INDEX 73 + B"11000011" when B"0001001010", -- INDEX 74 + B"11110011" when B"0001001011", -- INDEX 75 + B"00100110" when B"0001001100", -- INDEX 76 + B"01010010" when B"0001001101", -- INDEX 77 + B"01110010" when B"0001001110", -- INDEX 78 + B"01111111" when B"0001001111", -- INDEX 79 + B"01111010" when B"0001010000", -- INDEX 80 + B"01100001" when B"0001010001", -- INDEX 81 + B"00111001" when B"0001010010", -- INDEX 82 + B"00001001" when B"0001010011", -- INDEX 83 + B"11010111" when B"0001010100", -- INDEX 84 + B"10101011" when B"0001010101", -- INDEX 85 + B"10001101" when B"0001010110", -- INDEX 86 + B"10000000" when B"0001010111", -- INDEX 87 + B"10001000" when B"0001011000", -- INDEX 88 + B"10100010" when B"0001011001", -- INDEX 89 + B"11001010" when B"0001011010", -- INDEX 90 + B"11111011" when B"0001011011", -- INDEX 91 + B"00101101" when B"0001011100", -- INDEX 92 + B"01011000" when B"0001011101", -- INDEX 93 + B"01110101" when B"0001011110", -- INDEX 94 + B"01111111" when B"0001011111", -- INDEX 95 + B"01110111" when B"0001100000", -- INDEX 96 + B"01011100" when B"0001100001", -- INDEX 97 + B"00110010" when B"0001100010", -- INDEX 98 + B"00000001" when B"0001100011", -- INDEX 99 + B"11001111" when B"0001100100", -- INDEX 100 + B"10100101" when B"0001100101", -- INDEX 101 + B"10001001" when B"0001100110", -- INDEX 102 + B"10000000" when B"0001100111", -- INDEX 103 + B"10001011" when B"0001101000", -- INDEX 104 + B"10100111" when B"0001101001", -- INDEX 105 + B"11010010" when B"0001101010", -- INDEX 106 + B"00000100" when B"0001101011", -- INDEX 107 + B"00110101" when B"0001101100", -- INDEX 108 + B"01011110" when B"0001101101", -- INDEX 109 + B"01111000" when B"0001101110", -- INDEX 110 + B"01111111" when B"0001101111", -- INDEX 111 + B"01110100" when B"0001110000", -- INDEX 112 + B"01010110" when B"0001110001", -- INDEX 113 + B"00101010" when B"0001110010", -- INDEX 114 + B"11111000" when B"0001110011", -- INDEX 115 + B"11001000" when B"0001110100", -- INDEX 116 + B"10100000" when B"0001110101", -- INDEX 117 + B"10000111" when B"0001110110", -- INDEX 118 + B"10000000" when B"0001110111", -- INDEX 119 + B"10001110" when B"0001111000", -- INDEX 120 + B"10101101" when B"0001111001", -- INDEX 121 + B"11011001" when B"0001111010", -- INDEX 122 + B"00001100" when B"0001111011", -- INDEX 123 + B"00111100" when B"0001111100", -- INDEX 124 + B"01100011" when B"0001111101", -- INDEX 125 + B"01111011" when B"0001111110", -- INDEX 126 + B"01111111" when B"0001111111", -- INDEX 127 + B"01110000" when B"0010000000", -- INDEX 128 + B"01010000" when B"0010000001", -- INDEX 129 + B"00100011" when B"0010000010", -- INDEX 130 + B"11110000" when B"0010000011", -- INDEX 131 + B"11000001" when B"0010000100", -- INDEX 132 + B"10011011" when B"0010000101", -- INDEX 133 + B"10000100" when B"0010000110", -- INDEX 134 + B"10000001" when B"0010000111", -- INDEX 135 + B"10010010" when B"0010001000", -- INDEX 136 + B"10110100" when B"0010001001", -- INDEX 137 + B"11100001" when B"0010001010", -- INDEX 138 + B"00010100" when B"0010001011", -- INDEX 139 + B"01000011" when B"0010001100", -- INDEX 140 + B"01101000" when B"0010001101", -- INDEX 141 + B"01111101" when B"0010001110", -- INDEX 142 + B"01111110" when B"0010001111", -- INDEX 143 + B"01101100" when B"0010010000", -- INDEX 144 + B"01001001" when B"0010010001", -- INDEX 145 + B"00011011" when B"0010010010", -- INDEX 146 + B"11101001" when B"0010010011", -- INDEX 147 + B"10111010" when B"0010010100", -- INDEX 148 + B"10010110" when B"0010010101", -- INDEX 149 + B"10000010" when B"0010010110", -- INDEX 150 + B"10000011" when B"0010010111", -- INDEX 151 + B"10010110" when B"0010011000", -- INDEX 152 + B"10111010" when B"0010011001", -- INDEX 153 + B"11101001" when B"0010011010", -- INDEX 154 + B"00011011" when B"0010011011", -- INDEX 155 + B"01001010" when B"0010011100", -- INDEX 156 + B"01101100" when B"0010011101", -- INDEX 157 + B"01111110" when B"0010011110", -- INDEX 158 + B"01111101" when B"0010011111", -- INDEX 159 + B"01101000" when B"0010100000", -- INDEX 160 + B"01000010" when B"0010100001", -- INDEX 161 + B"00010011" when B"0010100010", -- INDEX 162 + B"11100001" when B"0010100011", -- INDEX 163 + B"10110011" when B"0010100100", -- INDEX 164 + B"10010010" when B"0010100101", -- INDEX 165 + B"10000001" when B"0010100110", -- INDEX 166 + B"10000100" when B"0010100111", -- INDEX 167 + B"10011011" when B"0010101000", -- INDEX 168 + B"11000001" when B"0010101001", -- INDEX 169 + B"11110001" when B"0010101010", -- INDEX 170 + B"00100011" when B"0010101011", -- INDEX 171 + B"01010000" when B"0010101100", -- INDEX 172 + B"01110000" when B"0010101101", -- INDEX 173 + B"01111111" when B"0010101110", -- INDEX 174 + B"01111010" when B"0010101111", -- INDEX 175 + B"01100011" when B"0010110000", -- INDEX 176 + B"00111011" when B"0010110001", -- INDEX 177 + B"00001011" when B"0010110010", -- INDEX 178 + B"11011001" when B"0010110011", -- INDEX 179 + B"10101101" when B"0010110100", -- INDEX 180 + B"10001110" when B"0010110101", -- INDEX 181 + B"10000000" when B"0010110110", -- INDEX 182 + B"10000111" when B"0010110111", -- INDEX 183 + B"10100000" when B"0010111000", -- INDEX 184 + B"11001000" when B"0010111001", -- INDEX 185 + B"11111001" when B"0010111010", -- INDEX 186 + B"00101011" when B"0010111011", -- INDEX 187 + B"01010110" when B"0010111100", -- INDEX 188 + B"01110100" when B"0010111101", -- INDEX 189 + B"01111111" when B"0010111110", -- INDEX 190 + B"01111000" when B"0010111111", -- INDEX 191 + B"01011101" when B"0011000000", -- INDEX 192 + B"00110100" when B"0011000001", -- INDEX 193 + B"00000011" when B"0011000010", -- INDEX 194 + B"11010001" when B"0011000011", -- INDEX 195 + B"10100111" when B"0011000100", -- INDEX 196 + B"10001010" when B"0011000101", -- INDEX 197 + B"10000000" when B"0011000110", -- INDEX 198 + B"10001010" when B"0011000111", -- INDEX 199 + B"10100101" when B"0011001000", -- INDEX 200 + B"11001111" when B"0011001001", -- INDEX 201 + B"11111111" when B"0011001010", -- INDEX 202 + B"00110000" when B"0011001011", -- INDEX 203 + B"01011001" when B"0011001100", -- INDEX 204 + B"01110101" when B"0011001101", -- INDEX 205 + B"01111111" when B"0011001110", -- INDEX 206 + B"01111000" when B"0011001111", -- INDEX 207 + B"01011101" when B"0011010000", -- INDEX 208 + B"00110101" when B"0011010001", -- INDEX 209 + B"00000101" when B"0011010010", -- INDEX 210 + B"11010100" when B"0011010011", -- INDEX 211 + B"10101010" when B"0011010100", -- INDEX 212 + B"10001100" when B"0011010101", -- INDEX 213 + B"10000000" when B"0011010110", -- INDEX 214 + B"10000111" when B"0011010111", -- INDEX 215 + B"10100000" when B"0011011000", -- INDEX 216 + B"11000111" when B"0011011001", -- INDEX 217 + B"11110111" when B"0011011010", -- INDEX 218 + B"00101000" when B"0011011011", -- INDEX 219 + B"01010011" when B"0011011100", -- INDEX 220 + B"01110010" when B"0011011101", -- INDEX 221 + B"01111111" when B"0011011110", -- INDEX 222 + B"01111010" when B"0011011111", -- INDEX 223 + B"01100011" when B"0011100000", -- INDEX 224 + B"00111100" when B"0011100001", -- INDEX 225 + B"00001101" when B"0011100010", -- INDEX 226 + B"11011100" when B"0011100011", -- INDEX 227 + B"10110000" when B"0011100100", -- INDEX 228 + B"10010000" when B"0011100101", -- INDEX 229 + B"10000001" when B"0011100110", -- INDEX 230 + B"10000101" when B"0011100111", -- INDEX 231 + B"10011011" when B"0011101000", -- INDEX 232 + B"11000000" when B"0011101001", -- INDEX 233 + B"11101111" when B"0011101010", -- INDEX 234 + B"00100000" when B"0011101011", -- INDEX 235 + B"01001101" when B"0011101100", -- INDEX 236 + B"01101110" when B"0011101101", -- INDEX 237 + B"01111111" when B"0011101110", -- INDEX 238 + B"01111100" when B"0011101111", -- INDEX 239 + B"01101000" when B"0011110000", -- INDEX 240 + B"01000011" when B"0011110001", -- INDEX 241 + B"00010101" when B"0011110010", -- INDEX 242 + B"11100100" when B"0011110011", -- INDEX 243 + B"10110110" when B"0011110100", -- INDEX 244 + B"10010100" when B"0011110101", -- INDEX 245 + B"10000010" when B"0011110110", -- INDEX 246 + B"10000011" when B"0011110111", -- INDEX 247 + B"10010110" when B"0011111000", -- INDEX 248 + B"10111001" when B"0011111001", -- INDEX 249 + B"11100111" when B"0011111010", -- INDEX 250 + B"00011000" when B"0011111011", -- INDEX 251 + B"01000110" when B"0011111100", -- INDEX 252 + B"01101010" when B"0011111101", -- INDEX 253 + B"01111101" when B"0011111110", -- INDEX 254 + B"01111110" when B"0011111111", -- INDEX 255 + B"01101100" when B"0100000000", -- INDEX 256 + B"01001010" when B"0100000001", -- INDEX 257 + B"00011101" when B"0100000010", -- INDEX 258 + B"11101011" when B"0100000011", -- INDEX 259 + B"10111101" when B"0100000100", -- INDEX 260 + B"10011001" when B"0100000101", -- INDEX 261 + B"10000100" when B"0100000110", -- INDEX 262 + B"10000001" when B"0100000111", -- INDEX 263 + B"10010010" when B"0100001000", -- INDEX 264 + B"10110011" when B"0100001001", -- INDEX 265 + B"11011111" when B"0100001010", -- INDEX 266 + B"00010001" when B"0100001011", -- INDEX 267 + B"00111111" when B"0100001100", -- INDEX 268 + B"01100101" when B"0100001101", -- INDEX 269 + B"01111011" when B"0100001110", -- INDEX 270 + B"01111111" when B"0100001111", -- INDEX 271 + B"01110000" when B"0100010000", -- INDEX 272 + B"01010000" when B"0100010001", -- INDEX 273 + B"00100101" when B"0100010010", -- INDEX 274 + B"11110011" when B"0100010011", -- INDEX 275 + B"11000100" when B"0100010100", -- INDEX 276 + B"10011110" when B"0100010101", -- INDEX 277 + B"10000110" when B"0100010110", -- INDEX 278 + B"10000000" when B"0100010111", -- INDEX 279 + B"10001110" when B"0100011000", -- INDEX 280 + B"10101100" when B"0100011001", -- INDEX 281 + B"11010111" when B"0100011010", -- INDEX 282 + B"00001001" when B"0100011011", -- INDEX 283 + B"00111000" when B"0100011100", -- INDEX 284 + B"01100000" when B"0100011101", -- INDEX 285 + B"01111001" when B"0100011110", -- INDEX 286 + B"01111111" when B"0100011111", -- INDEX 287 + B"01110100" when B"0100100000", -- INDEX 288 + B"01010111" when B"0100100001", -- INDEX 289 + B"00101100" when B"0100100010", -- INDEX 290 + B"11111011" when B"0100100011", -- INDEX 291 + B"11001011" when B"0100100100", -- INDEX 292 + B"10100011" when B"0100100101", -- INDEX 293 + B"10001001" when B"0100100110", -- INDEX 294 + B"10000000" when B"0100100111", -- INDEX 295 + B"10001011" when B"0100101000", -- INDEX 296 + B"10100111" when B"0100101001", -- INDEX 297 + B"11010000" when B"0100101010", -- INDEX 298 + B"00000001" when B"0100101011", -- INDEX 299 + B"00110001" when B"0100101100", -- INDEX 300 + B"01011010" when B"0100101101", -- INDEX 301 + B"01110110" when B"0100101110", -- INDEX 302 + B"01111111" when B"0100101111", -- INDEX 303 + B"01110111" when B"0100110000", -- INDEX 304 + B"01011100" when B"0100110001", -- INDEX 305 + B"00110100" when B"0100110010", -- INDEX 306 + B"00000100" when B"0100110011", -- INDEX 307 + B"11010011" when B"0100110100", -- INDEX 308 + B"10101001" when B"0100110101", -- INDEX 309 + B"10001100" when B"0100110110", -- INDEX 310 + B"10000000" when B"0100110111", -- INDEX 311 + B"10001000" when B"0100111000", -- INDEX 312 + B"10100001" when B"0100111001", -- INDEX 313 + B"11001001" when B"0100111010", -- INDEX 314 + B"11111000" when B"0100111011", -- INDEX 315 + B"00101001" when B"0100111100", -- INDEX 316 + B"01010100" when B"0100111101", -- INDEX 317 + B"01110011" when B"0100111110", -- INDEX 318 + B"01111111" when B"0100111111", -- INDEX 319 + B"01111010" when B"0101000000", -- INDEX 320 + B"01100010" when B"0101000001", -- INDEX 321 + B"00111011" when B"0101000010", -- INDEX 322 + B"00001100" when B"0101000011", -- INDEX 323 + B"11011010" when B"0101000100", -- INDEX 324 + B"10101111" when B"0101000101", -- INDEX 325 + B"10001111" when B"0101000110", -- INDEX 326 + B"10000001" when B"0101000111", -- INDEX 327 + B"10000101" when B"0101001000", -- INDEX 328 + B"10011100" when B"0101001001", -- INDEX 329 + B"11000001" when B"0101001010", -- INDEX 330 + B"11110000" when B"0101001011", -- INDEX 331 + B"00100010" when B"0101001100", -- INDEX 332 + B"01001110" when B"0101001101", -- INDEX 333 + B"01101111" when B"0101001110", -- INDEX 334 + B"01111111" when B"0101001111", -- INDEX 335 + B"01111100" when B"0101010000", -- INDEX 336 + B"01100111" when B"0101010001", -- INDEX 337 + B"01000010" when B"0101010010", -- INDEX 338 + B"00010100" when B"0101010011", -- INDEX 339 + B"11100010" when B"0101010100", -- INDEX 340 + B"10110101" when B"0101010101", -- INDEX 341 + B"10010011" when B"0101010110", -- INDEX 342 + B"10000010" when B"0101010111", -- INDEX 343 + B"10000011" when B"0101011000", -- INDEX 344 + B"10010111" when B"0101011001", -- INDEX 345 + B"10111011" when B"0101011010", -- INDEX 346 + B"11101001" when B"0101011011", -- INDEX 347 + B"00011010" when B"0101011100", -- INDEX 348 + B"01001000" when B"0101011101", -- INDEX 349 + B"01101010" when B"0101011110", -- INDEX 350 + B"01111101" when B"0101011111", -- INDEX 351 + B"01111110" when B"0101100000", -- INDEX 352 + B"01101011" when B"0101100001", -- INDEX 353 + B"01001001" when B"0101100010", -- INDEX 354 + B"00011011" when B"0101100011", -- INDEX 355 + B"11101010" when B"0101100100", -- INDEX 356 + B"10111100" when B"0101100101", -- INDEX 357 + B"10011000" when B"0101100110", -- INDEX 358 + B"10000011" when B"0101100111", -- INDEX 359 + B"10000010" when B"0101101000", -- INDEX 360 + B"10010011" when B"0101101001", -- INDEX 361 + B"10110100" when B"0101101010", -- INDEX 362 + B"11100001" when B"0101101011", -- INDEX 363 + B"00010010" when B"0101101100", -- INDEX 364 + B"01000001" when B"0101101101", -- INDEX 365 + B"01100110" when B"0101101110", -- INDEX 366 + B"01111100" when B"0101101111", -- INDEX 367 + B"01111111" when B"0101110000", -- INDEX 368 + B"01101111" when B"0101110001", -- INDEX 369 + B"01001111" when B"0101110010", -- INDEX 370 + B"00100011" when B"0101110011", -- INDEX 371 + B"11110010" when B"0101110100", -- INDEX 372 + B"11000011" when B"0101110101", -- INDEX 373 + B"10011101" when B"0101110110", -- INDEX 374 + B"10000110" when B"0101110111", -- INDEX 375 + B"10000001" when B"0101111000", -- INDEX 376 + B"10001111" when B"0101111001", -- INDEX 377 + B"10101110" when B"0101111010", -- INDEX 378 + B"11011001" when B"0101111011", -- INDEX 379 + B"00001010" when B"0101111100", -- INDEX 380 + B"00111010" when B"0101111101", -- INDEX 381 + B"01100001" when B"0101111110", -- INDEX 382 + B"01111001" when B"0101111111", -- INDEX 383 + B"01111111" when B"0110000000", -- INDEX 384 + B"01110011" when B"0110000001", -- INDEX 385 + B"01010101" when B"0110000010", -- INDEX 386 + B"00101011" when B"0110000011", -- INDEX 387 + B"11111010" when B"0110000100", -- INDEX 388 + B"11001010" when B"0110000101", -- INDEX 389 + B"10100010" when B"0110000110", -- INDEX 390 + B"10001000" when B"0110000111", -- INDEX 391 + B"10000000" when B"0110001000", -- INDEX 392 + B"10001011" when B"0110001001", -- INDEX 393 + B"10101000" when B"0110001010", -- INDEX 394 + B"11010001" when B"0110001011", -- INDEX 395 + B"00000010" when B"0110001100", -- INDEX 396 + B"00110010" when B"0110001101", -- INDEX 397 + B"01011011" when B"0110001110", -- INDEX 398 + B"01110110" when B"0110001111", -- INDEX 399 + B"01111111" when B"0110010000", -- INDEX 400 + B"01110110" when B"0110010001", -- INDEX 401 + B"01011010" when B"0110010010", -- INDEX 402 + B"00110000" when B"0110010011", -- INDEX 403 + B"11111110" when B"0110010100", -- INDEX 404 + B"11001101" when B"0110010101", -- INDEX 405 + B"10100011" when B"0110010110", -- INDEX 406 + B"10001000" when B"0110010111", -- INDEX 407 + B"10000000" when B"0110011000", -- INDEX 408 + B"10001100" when B"0110011001", -- INDEX 409 + B"10101001" when B"0110011010", -- INDEX 410 + B"11010100" when B"0110011011", -- INDEX 411 + B"00000110" when B"0110011100", -- INDEX 412 + B"00110111" when B"0110011101", -- INDEX 413 + B"01011111" when B"0110011110", -- INDEX 414 + B"01111001" when B"0110011111", -- INDEX 415 + B"01111111" when B"0110100000", -- INDEX 416 + B"01110011" when B"0110100001", -- INDEX 417 + B"01010100" when B"0110100010", -- INDEX 418 + B"00101000" when B"0110100011", -- INDEX 419 + B"11110110" when B"0110100100", -- INDEX 420 + B"11000101" when B"0110100101", -- INDEX 421 + B"10011110" when B"0110100110", -- INDEX 422 + B"10000110" when B"0110100111", -- INDEX 423 + B"10000001" when B"0110101000", -- INDEX 424 + B"10001111" when B"0110101001", -- INDEX 425 + B"10101111" when B"0110101010", -- INDEX 426 + B"11011100" when B"0110101011", -- INDEX 427 + B"00001110" when B"0110101100", -- INDEX 428 + B"00111110" when B"0110101101", -- INDEX 429 + B"01100101" when B"0110101110", -- INDEX 430 + B"01111011" when B"0110101111", -- INDEX 431 + B"01111111" when B"0110110000", -- INDEX 432 + B"01101111" when B"0110110001", -- INDEX 433 + B"01001110" when B"0110110010", -- INDEX 434 + B"00100000" when B"0110110011", -- INDEX 435 + B"11101110" when B"0110110100", -- INDEX 436 + B"10111110" when B"0110110101", -- INDEX 437 + B"10011001" when B"0110110110", -- INDEX 438 + B"10000100" when B"0110110111", -- INDEX 439 + B"10000010" when B"0110111000", -- INDEX 440 + B"10010011" when B"0110111001", -- INDEX 441 + B"10110110" when B"0110111010", -- INDEX 442 + B"11100100" when B"0110111011", -- INDEX 443 + B"00010110" when B"0110111100", -- INDEX 444 + B"01000101" when B"0110111101", -- INDEX 445 + B"01101001" when B"0110111110", -- INDEX 446 + B"01111101" when B"0110111111", -- INDEX 447 + B"01111110" when B"0111000000", -- INDEX 448 + B"01101011" when B"0111000001", -- INDEX 449 + B"01000111" when B"0111000010", -- INDEX 450 + B"00011000" when B"0111000011", -- INDEX 451 + B"11100110" when B"0111000100", -- INDEX 452 + B"10111000" when B"0111000101", -- INDEX 453 + B"10010100" when B"0111000110", -- INDEX 454 + B"10000010" when B"0111000111", -- INDEX 455 + B"10000011" when B"0111001000", -- INDEX 456 + B"10011000" when B"0111001001", -- INDEX 457 + B"10111100" when B"0111001010", -- INDEX 458 + B"11101011" when B"0111001011", -- INDEX 459 + B"00011110" when B"0111001100", -- INDEX 460 + B"01001100" when B"0111001101", -- INDEX 461 + B"01101110" when B"0111001110", -- INDEX 462 + B"01111111" when B"0111001111", -- INDEX 463 + B"01111100" when B"0111010000", -- INDEX 464 + B"01100110" when B"0111010001", -- INDEX 465 + B"01000000" when B"0111010010", -- INDEX 466 + B"00010001" when B"0111010011", -- INDEX 467 + B"11011110" when B"0111010100", -- INDEX 468 + B"10110001" when B"0111010101", -- INDEX 469 + B"10010000" when B"0111010110", -- INDEX 470 + B"10000001" when B"0111010111", -- INDEX 471 + B"10000101" when B"0111011000", -- INDEX 472 + B"10011100" when B"0111011001", -- INDEX 473 + B"11000011" when B"0111011010", -- INDEX 474 + B"11110011" when B"0111011011", -- INDEX 475 + B"00100110" when B"0111011100", -- INDEX 476 + B"01010010" when B"0111011101", -- INDEX 477 + B"01110010" when B"0111011110", -- INDEX 478 + B"01111111" when B"0111011111", -- INDEX 479 + B"01111010" when B"0111100000", -- INDEX 480 + B"01100001" when B"0111100001", -- INDEX 481 + B"00111001" when B"0111100010", -- INDEX 482 + B"00001001" when B"0111100011", -- INDEX 483 + B"11010111" when B"0111100100", -- INDEX 484 + B"10101011" when B"0111100101", -- INDEX 485 + B"10001101" when B"0111100110", -- INDEX 486 + B"10000000" when B"0111100111", -- INDEX 487 + B"10001000" when B"0111101000", -- INDEX 488 + B"10100010" when B"0111101001", -- INDEX 489 + B"11001010" when B"0111101010", -- INDEX 490 + B"11111011" when B"0111101011", -- INDEX 491 + B"00101101" when B"0111101100", -- INDEX 492 + B"01011000" when B"0111101101", -- INDEX 493 + B"01110101" when B"0111101110", -- INDEX 494 + B"01111111" when B"0111101111", -- INDEX 495 + B"01110111" when B"0111110000", -- INDEX 496 + B"01011100" when B"0111110001", -- INDEX 497 + B"00110010" when B"0111110010", -- INDEX 498 + B"00000001" when B"0111110011", -- INDEX 499 + B"11001111" when B"0111110100", -- INDEX 500 + B"10100101" when B"0111110101", -- INDEX 501 + B"10001001" when B"0111110110", -- INDEX 502 + B"10000000" when B"0111110111", -- INDEX 503 + B"10001011" when B"0111111000", -- INDEX 504 + B"10100111" when B"0111111001", -- INDEX 505 + B"11010010" when B"0111111010", -- INDEX 506 + B"00000100" when B"0111111011", -- INDEX 507 + B"00110101" when B"0111111100", -- INDEX 508 + B"01011110" when B"0111111101", -- INDEX 509 + B"01111000" when B"0111111110", -- INDEX 510 + B"01111111" when B"0111111111", -- INDEX 511 + B"01110100" when B"1000000000", -- INDEX 512 + B"01010110" when B"1000000001", -- INDEX 513 + B"00101010" when B"1000000010", -- INDEX 514 + B"11111000" when B"1000000011", -- INDEX 515 + B"11001000" when B"1000000100", -- INDEX 516 + B"10100000" when B"1000000101", -- INDEX 517 + B"10000111" when B"1000000110", -- INDEX 518 + B"10000000" when B"1000000111", -- INDEX 519 + B"10001110" when B"1000001000", -- INDEX 520 + B"10101101" when B"1000001001", -- INDEX 521 + B"11011001" when B"1000001010", -- INDEX 522 + B"00001100" when B"1000001011", -- INDEX 523 + B"00111100" when B"1000001100", -- INDEX 524 + B"01100011" when B"1000001101", -- INDEX 525 + B"01111011" when B"1000001110", -- INDEX 526 + B"01111111" when B"1000001111", -- INDEX 527 + B"01110000" when B"1000010000", -- INDEX 528 + B"01010000" when B"1000010001", -- INDEX 529 + B"00100011" when B"1000010010", -- INDEX 530 + B"11110000" when B"1000010011", -- INDEX 531 + B"11000001" when B"1000010100", -- INDEX 532 + B"10011011" when B"1000010101", -- INDEX 533 + B"10000100" when B"1000010110", -- INDEX 534 + B"10000001" when B"1000010111", -- INDEX 535 + B"10010010" when B"1000011000", -- INDEX 536 + B"10110100" when B"1000011001", -- INDEX 537 + B"11100001" when B"1000011010", -- INDEX 538 + B"00010100" when B"1000011011", -- INDEX 539 + B"01000011" when B"1000011100", -- INDEX 540 + B"01101000" when B"1000011101", -- INDEX 541 + B"01111101" when B"1000011110", -- INDEX 542 + B"01111110" when B"1000011111", -- INDEX 543 + B"01101100" when B"1000100000", -- INDEX 544 + B"01001001" when B"1000100001", -- INDEX 545 + B"00011011" when B"1000100010", -- INDEX 546 + B"11101001" when B"1000100011", -- INDEX 547 + B"10111010" when B"1000100100", -- INDEX 548 + B"10010110" when B"1000100101", -- INDEX 549 + B"10000010" when B"1000100110", -- INDEX 550 + B"10000011" when B"1000100111", -- INDEX 551 + B"10010110" when B"1000101000", -- INDEX 552 + B"10111010" when B"1000101001", -- INDEX 553 + B"11101001" when B"1000101010", -- INDEX 554 + B"00011011" when B"1000101011", -- INDEX 555 + B"01001010" when B"1000101100", -- INDEX 556 + B"01101100" when B"1000101101", -- INDEX 557 + B"01111110" when B"1000101110", -- INDEX 558 + B"01111101" when B"1000101111", -- INDEX 559 + B"01101000" when B"1000110000", -- INDEX 560 + B"01000010" when B"1000110001", -- INDEX 561 + B"00010011" when B"1000110010", -- INDEX 562 + B"11100001" when B"1000110011", -- INDEX 563 + B"10110011" when B"1000110100", -- INDEX 564 + B"10010010" when B"1000110101", -- INDEX 565 + B"10000001" when B"1000110110", -- INDEX 566 + B"10000100" when B"1000110111", -- INDEX 567 + B"10011011" when B"1000111000", -- INDEX 568 + B"11000001" when B"1000111001", -- INDEX 569 + B"11110001" when B"1000111010", -- INDEX 570 + B"00100011" when B"1000111011", -- INDEX 571 + B"01010000" when B"1000111100", -- INDEX 572 + B"01110000" when B"1000111101", -- INDEX 573 + B"01111111" when B"1000111110", -- INDEX 574 + B"01111010" when B"1000111111", -- INDEX 575 + B"01100011" when B"1001000000", -- INDEX 576 + B"00111011" when B"1001000001", -- INDEX 577 + B"00001011" when B"1001000010", -- INDEX 578 + B"11011001" when B"1001000011", -- INDEX 579 + B"10101101" when B"1001000100", -- INDEX 580 + B"10001110" when B"1001000101", -- INDEX 581 + B"10000000" when B"1001000110", -- INDEX 582 + B"10000111" when B"1001000111", -- INDEX 583 + B"10100000" when B"1001001000", -- INDEX 584 + B"11001000" when B"1001001001", -- INDEX 585 + B"11111001" when B"1001001010", -- INDEX 586 + B"00101011" when B"1001001011", -- INDEX 587 + B"01010110" when B"1001001100", -- INDEX 588 + B"01110100" when B"1001001101", -- INDEX 589 + B"01111111" when B"1001001110", -- INDEX 590 + B"01111000" when B"1001001111", -- INDEX 591 + B"01011101" when B"1001010000", -- INDEX 592 + B"00110100" when B"1001010001", -- INDEX 593 + B"00000011" when B"1001010010", -- INDEX 594 + B"11010001" when B"1001010011", -- INDEX 595 + B"10100111" when B"1001010100", -- INDEX 596 + B"10001010" when B"1001010101", -- INDEX 597 + B"10000000" when B"1001010110", -- INDEX 598 + B"10001010" when B"1001010111", -- INDEX 599 + B"10100101" when B"1001011000", -- INDEX 600 + B"11001111" when B"1001011001", -- INDEX 601 + B"11111111" when B"1001011010", -- INDEX 602 + B"00110000" when B"1001011011", -- INDEX 603 + B"01011001" when B"1001011100", -- INDEX 604 + B"01110101" when B"1001011101", -- INDEX 605 + B"01111111" when B"1001011110", -- INDEX 606 + B"01111000" when B"1001011111", -- INDEX 607 + B"01011101" when B"1001100000", -- INDEX 608 + B"00110101" when B"1001100001", -- INDEX 609 + B"00000101" when B"1001100010", -- INDEX 610 + B"11010100" when B"1001100011", -- INDEX 611 + B"10101010" when B"1001100100", -- INDEX 612 + B"10001100" when B"1001100101", -- INDEX 613 + B"10000000" when B"1001100110", -- INDEX 614 + B"10000111" when B"1001100111", -- INDEX 615 + B"10100000" when B"1001101000", -- INDEX 616 + B"11000111" when B"1001101001", -- INDEX 617 + B"11110111" when B"1001101010", -- INDEX 618 + B"00101000" when B"1001101011", -- INDEX 619 + B"01010011" when B"1001101100", -- INDEX 620 + B"01110010" when B"1001101101", -- INDEX 621 + B"01111111" when B"1001101110", -- INDEX 622 + B"01111010" when B"1001101111", -- INDEX 623 + B"01100011" when B"1001110000", -- INDEX 624 + B"00111100" when B"1001110001", -- INDEX 625 + B"00001101" when B"1001110010", -- INDEX 626 + B"11011100" when B"1001110011", -- INDEX 627 + B"10110000" when B"1001110100", -- INDEX 628 + B"10010000" when B"1001110101", -- INDEX 629 + B"10000001" when B"1001110110", -- INDEX 630 + B"10000101" when B"1001110111", -- INDEX 631 + B"10011011" when B"1001111000", -- INDEX 632 + B"11000000" when B"1001111001", -- INDEX 633 + B"11101111" when B"1001111010", -- INDEX 634 + B"00100000" when B"1001111011", -- INDEX 635 + B"01001101" when B"1001111100", -- INDEX 636 + B"01101110" when B"1001111101", -- INDEX 637 + B"01111111" when B"1001111110", -- INDEX 638 + B"01111100" when B"1001111111", -- INDEX 639 + B"01101000" when B"1010000000", -- INDEX 640 + B"01000011" when B"1010000001", -- INDEX 641 + B"00010101" when B"1010000010", -- INDEX 642 + B"11100100" when B"1010000011", -- INDEX 643 + B"10110110" when B"1010000100", -- INDEX 644 + B"10010100" when B"1010000101", -- INDEX 645 + B"10000010" when B"1010000110", -- INDEX 646 + B"10000011" when B"1010000111", -- INDEX 647 + B"10010110" when B"1010001000", -- INDEX 648 + B"10111001" when B"1010001001", -- INDEX 649 + B"11100111" when B"1010001010", -- INDEX 650 + B"00011000" when B"1010001011", -- INDEX 651 + B"01000110" when B"1010001100", -- INDEX 652 + B"01101010" when B"1010001101", -- INDEX 653 + B"01111101" when B"1010001110", -- INDEX 654 + B"01111110" when B"1010001111", -- INDEX 655 + B"01101100" when B"1010010000", -- INDEX 656 + B"01001010" when B"1010010001", -- INDEX 657 + B"00011101" when B"1010010010", -- INDEX 658 + B"11101011" when B"1010010011", -- INDEX 659 + B"10111101" when B"1010010100", -- INDEX 660 + B"10011001" when B"1010010101", -- INDEX 661 + B"10000100" when B"1010010110", -- INDEX 662 + B"10000001" when B"1010010111", -- INDEX 663 + B"10010010" when B"1010011000", -- INDEX 664 + B"10110011" when B"1010011001", -- INDEX 665 + B"11011111" when B"1010011010", -- INDEX 666 + B"00010001" when B"1010011011", -- INDEX 667 + B"00111111" when B"1010011100", -- INDEX 668 + B"01100101" when B"1010011101", -- INDEX 669 + B"01111011" when B"1010011110", -- INDEX 670 + B"01111111" when B"1010011111", -- INDEX 671 + B"01110000" when B"1010100000", -- INDEX 672 + B"01010000" when B"1010100001", -- INDEX 673 + B"00100101" when B"1010100010", -- INDEX 674 + B"11110011" when B"1010100011", -- INDEX 675 + B"11000100" when B"1010100100", -- INDEX 676 + B"10011110" when B"1010100101", -- INDEX 677 + B"10000110" when B"1010100110", -- INDEX 678 + B"10000000" when B"1010100111", -- INDEX 679 + B"10001110" when B"1010101000", -- INDEX 680 + B"10101100" when B"1010101001", -- INDEX 681 + B"11010111" when B"1010101010", -- INDEX 682 + B"00001001" when B"1010101011", -- INDEX 683 + B"00111000" when B"1010101100", -- INDEX 684 + B"01100000" when B"1010101101", -- INDEX 685 + B"01111001" when B"1010101110", -- INDEX 686 + B"01111111" when B"1010101111", -- INDEX 687 + B"01110100" when B"1010110000", -- INDEX 688 + B"01010111" when B"1010110001", -- INDEX 689 + B"00101100" when B"1010110010", -- INDEX 690 + B"11111011" when B"1010110011", -- INDEX 691 + B"11001011" when B"1010110100", -- INDEX 692 + B"10100011" when B"1010110101", -- INDEX 693 + B"10001001" when B"1010110110", -- INDEX 694 + B"10000000" when B"1010110111", -- INDEX 695 + B"10001011" when B"1010111000", -- INDEX 696 + B"10100111" when B"1010111001", -- INDEX 697 + B"11010000" when B"1010111010", -- INDEX 698 + B"00000001" when B"1010111011", -- INDEX 699 + B"00110001" when B"1010111100", -- INDEX 700 + B"01011010" when B"1010111101", -- INDEX 701 + B"01110110" when B"1010111110", -- INDEX 702 + B"01111111" when B"1010111111", -- INDEX 703 + B"01110111" when B"1011000000", -- INDEX 704 + B"01011100" when B"1011000001", -- INDEX 705 + B"00110100" when B"1011000010", -- INDEX 706 + B"00000100" when B"1011000011", -- INDEX 707 + B"11010011" when B"1011000100", -- INDEX 708 + B"10101001" when B"1011000101", -- INDEX 709 + B"10001100" when B"1011000110", -- INDEX 710 + B"10000000" when B"1011000111", -- INDEX 711 + B"10001000" when B"1011001000", -- INDEX 712 + B"10100001" when B"1011001001", -- INDEX 713 + B"11001001" when B"1011001010", -- INDEX 714 + B"11111000" when B"1011001011", -- INDEX 715 + B"00101001" when B"1011001100", -- INDEX 716 + B"01010100" when B"1011001101", -- INDEX 717 + B"01110011" when B"1011001110", -- INDEX 718 + B"01111111" when B"1011001111", -- INDEX 719 + B"01111010" when B"1011010000", -- INDEX 720 + B"01100010" when B"1011010001", -- INDEX 721 + B"00111011" when B"1011010010", -- INDEX 722 + B"00001100" when B"1011010011", -- INDEX 723 + B"11011010" when B"1011010100", -- INDEX 724 + B"10101111" when B"1011010101", -- INDEX 725 + B"10001111" when B"1011010110", -- INDEX 726 + B"10000001" when B"1011010111", -- INDEX 727 + B"10000101" when B"1011011000", -- INDEX 728 + B"10011100" when B"1011011001", -- INDEX 729 + B"11000001" when B"1011011010", -- INDEX 730 + B"11110000" when B"1011011011", -- INDEX 731 + B"00100010" when B"1011011100", -- INDEX 732 + B"01001110" when B"1011011101", -- INDEX 733 + B"01101111" when B"1011011110", -- INDEX 734 + B"01111111" when B"1011011111", -- INDEX 735 + B"01111100" when B"1011100000", -- INDEX 736 + B"01100111" when B"1011100001", -- INDEX 737 + B"01000010" when B"1011100010", -- INDEX 738 + B"00010100" when B"1011100011", -- INDEX 739 + B"11100010" when B"1011100100", -- INDEX 740 + B"10110101" when B"1011100101", -- INDEX 741 + B"10010011" when B"1011100110", -- INDEX 742 + B"10000010" when B"1011100111", -- INDEX 743 + B"10000011" when B"1011101000", -- INDEX 744 + B"10010111" when B"1011101001", -- INDEX 745 + B"10111011" when B"1011101010", -- INDEX 746 + B"11101001" when B"1011101011", -- INDEX 747 + B"00011010" when B"1011101100", -- INDEX 748 + B"01001000" when B"1011101101", -- INDEX 749 + B"01101010" when B"1011101110", -- INDEX 750 + B"01111101" when B"1011101111", -- INDEX 751 + B"01111110" when B"1011110000", -- INDEX 752 + B"01101011" when B"1011110001", -- INDEX 753 + B"01001001" when B"1011110010", -- INDEX 754 + B"00011011" when B"1011110011", -- INDEX 755 + B"11101010" when B"1011110100", -- INDEX 756 + B"10111100" when B"1011110101", -- INDEX 757 + B"10011000" when B"1011110110", -- INDEX 758 + B"10000011" when B"1011110111", -- INDEX 759 + B"10000010" when B"1011111000", -- INDEX 760 + B"10010011" when B"1011111001", -- INDEX 761 + B"10110100" when B"1011111010", -- INDEX 762 + B"11100001" when B"1011111011", -- INDEX 763 + B"00010010" when B"1011111100", -- INDEX 764 + B"01000001" when B"1011111101", -- INDEX 765 + B"01100110" when B"1011111110", -- INDEX 766 + B"01111100" when B"1011111111", -- INDEX 767 + B"01111111" when B"1100000000", -- INDEX 768 + B"01101111" when B"1100000001", -- INDEX 769 + B"01001111" when B"1100000010", -- INDEX 770 + B"00100011" when B"1100000011", -- INDEX 771 + B"11110010" when B"1100000100", -- INDEX 772 + B"11000011" when B"1100000101", -- INDEX 773 + B"10011101" when B"1100000110", -- INDEX 774 + B"10000110" when B"1100000111", -- INDEX 775 + B"10000001" when B"1100001000", -- INDEX 776 + B"10001111" when B"1100001001", -- INDEX 777 + B"10101110" when B"1100001010", -- INDEX 778 + B"11011001" when B"1100001011", -- INDEX 779 + B"00001010" when B"1100001100", -- INDEX 780 + B"00111010" when B"1100001101", -- INDEX 781 + B"01100001" when B"1100001110", -- INDEX 782 + B"01111001" when B"1100001111", -- INDEX 783 + B"01111111" when B"1100010000", -- INDEX 784 + B"01110011" when B"1100010001", -- INDEX 785 + B"01010101" when B"1100010010", -- INDEX 786 + B"00101011" when B"1100010011", -- INDEX 787 + B"11111010" when B"1100010100", -- INDEX 788 + B"11001010" when B"1100010101", -- INDEX 789 + B"10100010" when B"1100010110", -- INDEX 790 + B"10001000" when B"1100010111", -- INDEX 791 + B"10000000" when B"1100011000", -- INDEX 792 + B"10001011" when B"1100011001", -- INDEX 793 + B"10101000" when B"1100011010", -- INDEX 794 + B"11010001" when B"1100011011", -- INDEX 795 + B"00000010" when B"1100011100", -- INDEX 796 + B"00110010" when B"1100011101", -- INDEX 797 + B"01011011" when B"1100011110", -- INDEX 798 + B"01110110" when B"1100011111", -- INDEX 799 + B"01111111" when B"1100100000", -- INDEX 800 + B"01110110" when B"1100100001", -- INDEX 801 + B"01011010" when B"1100100010", -- INDEX 802 + B"00110000" when B"1100100011", -- INDEX 803 + B"11111110" when B"1100100100", -- INDEX 804 + B"11001101" when B"1100100101", -- INDEX 805 + B"10100011" when B"1100100110", -- INDEX 806 + B"10001000" when B"1100100111", -- INDEX 807 + B"10000000" when B"1100101000", -- INDEX 808 + B"10001100" when B"1100101001", -- INDEX 809 + B"10101001" when B"1100101010", -- INDEX 810 + B"11010100" when B"1100101011", -- INDEX 811 + B"00000110" when B"1100101100", -- INDEX 812 + B"00110111" when B"1100101101", -- INDEX 813 + B"01011111" when B"1100101110", -- INDEX 814 + B"01111001" when B"1100101111", -- INDEX 815 + B"01111111" when B"1100110000", -- INDEX 816 + B"01110011" when B"1100110001", -- INDEX 817 + B"01010100" when B"1100110010", -- INDEX 818 + B"00101000" when B"1100110011", -- INDEX 819 + B"11110110" when B"1100110100", -- INDEX 820 + B"11000101" when B"1100110101", -- INDEX 821 + B"10011110" when B"1100110110", -- INDEX 822 + B"10000110" when B"1100110111", -- INDEX 823 + B"10000001" when B"1100111000", -- INDEX 824 + B"10001111" when B"1100111001", -- INDEX 825 + B"10101111" when B"1100111010", -- INDEX 826 + B"11011100" when B"1100111011", -- INDEX 827 + B"00001110" when B"1100111100", -- INDEX 828 + B"00111110" when B"1100111101", -- INDEX 829 + B"01100101" when B"1100111110", -- INDEX 830 + B"01111011" when B"1100111111", -- INDEX 831 + B"01111111" when B"1101000000", -- INDEX 832 + B"01101111" when B"1101000001", -- INDEX 833 + B"01001110" when B"1101000010", -- INDEX 834 + B"00100000" when B"1101000011", -- INDEX 835 + B"11101110" when B"1101000100", -- INDEX 836 + B"10111110" when B"1101000101", -- INDEX 837 + B"10011001" when B"1101000110", -- INDEX 838 + B"10000100" when B"1101000111", -- INDEX 839 + B"10000010" when B"1101001000", -- INDEX 840 + B"10010011" when B"1101001001", -- INDEX 841 + B"10110110" when B"1101001010", -- INDEX 842 + B"11100100" when B"1101001011", -- INDEX 843 + B"00010110" when B"1101001100", -- INDEX 844 + B"01000101" when B"1101001101", -- INDEX 845 + B"01101001" when B"1101001110", -- INDEX 846 + B"01111101" when B"1101001111", -- INDEX 847 + B"01111110" when B"1101010000", -- INDEX 848 + B"01101011" when B"1101010001", -- INDEX 849 + B"01000111" when B"1101010010", -- INDEX 850 + B"00011000" when B"1101010011", -- INDEX 851 + B"11100110" when B"1101010100", -- INDEX 852 + B"10111000" when B"1101010101", -- INDEX 853 + B"10010100" when B"1101010110", -- INDEX 854 + B"10000010" when B"1101010111", -- INDEX 855 + B"10000011" when B"1101011000", -- INDEX 856 + B"10011000" when B"1101011001", -- INDEX 857 + B"10111100" when B"1101011010", -- INDEX 858 + B"11101011" when B"1101011011", -- INDEX 859 + B"00011110" when B"1101011100", -- INDEX 860 + B"01001100" when B"1101011101", -- INDEX 861 + B"01101110" when B"1101011110", -- INDEX 862 + B"01111111" when B"1101011111", -- INDEX 863 + B"01111100" when B"1101100000", -- INDEX 864 + B"01100110" when B"1101100001", -- INDEX 865 + B"01000000" when B"1101100010", -- INDEX 866 + B"00010001" when B"1101100011", -- INDEX 867 + B"11011110" when B"1101100100", -- INDEX 868 + B"10110001" when B"1101100101", -- INDEX 869 + B"10010000" when B"1101100110", -- INDEX 870 + B"10000001" when B"1101100111", -- INDEX 871 + B"10000101" when B"1101101000", -- INDEX 872 + B"10011100" when B"1101101001", -- INDEX 873 + B"11000011" when B"1101101010", -- INDEX 874 + B"11110011" when B"1101101011", -- INDEX 875 + B"00100110" when B"1101101100", -- INDEX 876 + B"01010010" when B"1101101101", -- INDEX 877 + B"01110010" when B"1101101110", -- INDEX 878 + B"01111111" when B"1101101111", -- INDEX 879 + B"01111010" when B"1101110000", -- INDEX 880 + B"01100001" when B"1101110001", -- INDEX 881 + B"00111001" when B"1101110010", -- INDEX 882 + B"00001001" when B"1101110011", -- INDEX 883 + B"11010111" when B"1101110100", -- INDEX 884 + B"10101011" when B"1101110101", -- INDEX 885 + B"10001101" when B"1101110110", -- INDEX 886 + B"10000000" when B"1101110111", -- INDEX 887 + B"10001000" when B"1101111000", -- INDEX 888 + B"10100010" when B"1101111001", -- INDEX 889 + B"11001010" when B"1101111010", -- INDEX 890 + B"11111011" when B"1101111011", -- INDEX 891 + B"00101101" when B"1101111100", -- INDEX 892 + B"01011000" when B"1101111101", -- INDEX 893 + B"01110101" when B"1101111110", -- INDEX 894 + B"01111111" when B"1101111111", -- INDEX 895 + B"01110111" when B"1110000000", -- INDEX 896 + B"01011100" when B"1110000001", -- INDEX 897 + B"00110010" when B"1110000010", -- INDEX 898 + B"00000001" when B"1110000011", -- INDEX 899 + B"11001111" when B"1110000100", -- INDEX 900 + B"10100101" when B"1110000101", -- INDEX 901 + B"10001001" when B"1110000110", -- INDEX 902 + B"10000000" when B"1110000111", -- INDEX 903 + B"10001011" when B"1110001000", -- INDEX 904 + B"10100111" when B"1110001001", -- INDEX 905 + B"11010010" when B"1110001010", -- INDEX 906 + B"00000100" when B"1110001011", -- INDEX 907 + B"00110101" when B"1110001100", -- INDEX 908 + B"01011110" when B"1110001101", -- INDEX 909 + B"01111000" when B"1110001110", -- INDEX 910 + B"01111111" when B"1110001111", -- INDEX 911 + B"01110100" when B"1110010000", -- INDEX 912 + B"01010110" when B"1110010001", -- INDEX 913 + B"00101010" when B"1110010010", -- INDEX 914 + B"11111000" when B"1110010011", -- INDEX 915 + B"11001000" when B"1110010100", -- INDEX 916 + B"10100000" when B"1110010101", -- INDEX 917 + B"10000111" when B"1110010110", -- INDEX 918 + B"10000000" when B"1110010111", -- INDEX 919 + B"10001110" when B"1110011000", -- INDEX 920 + B"10101101" when B"1110011001", -- INDEX 921 + B"11011001" when B"1110011010", -- INDEX 922 + B"00001100" when B"1110011011", -- INDEX 923 + B"00111100" when B"1110011100", -- INDEX 924 + B"01100011" when B"1110011101", -- INDEX 925 + B"01111011" when B"1110011110", -- INDEX 926 + B"01111111" when B"1110011111", -- INDEX 927 + B"01110000" when B"1110100000", -- INDEX 928 + B"01010000" when B"1110100001", -- INDEX 929 + B"00100011" when B"1110100010", -- INDEX 930 + B"11110000" when B"1110100011", -- INDEX 931 + B"11000001" when B"1110100100", -- INDEX 932 + B"10011011" when B"1110100101", -- INDEX 933 + B"10000100" when B"1110100110", -- INDEX 934 + B"10000001" when B"1110100111", -- INDEX 935 + B"10010010" when B"1110101000", -- INDEX 936 + B"10110100" when B"1110101001", -- INDEX 937 + B"11100001" when B"1110101010", -- INDEX 938 + B"00010100" when B"1110101011", -- INDEX 939 + B"01000011" when B"1110101100", -- INDEX 940 + B"01101000" when B"1110101101", -- INDEX 941 + B"01111101" when B"1110101110", -- INDEX 942 + B"01111110" when B"1110101111", -- INDEX 943 + B"01101100" when B"1110110000", -- INDEX 944 + B"01001001" when B"1110110001", -- INDEX 945 + B"00011011" when B"1110110010", -- INDEX 946 + B"11101001" when B"1110110011", -- INDEX 947 + B"10111010" when B"1110110100", -- INDEX 948 + B"10010110" when B"1110110101", -- INDEX 949 + B"10000010" when B"1110110110", -- INDEX 950 + B"10000011" when B"1110110111", -- INDEX 951 + B"10010110" when B"1110111000", -- INDEX 952 + B"10111010" when B"1110111001", -- INDEX 953 + B"11101001" when B"1110111010", -- INDEX 954 + B"00011011" when B"1110111011", -- INDEX 955 + B"01001010" when B"1110111100", -- INDEX 956 + B"01101100" when B"1110111101", -- INDEX 957 + B"01111110" when B"1110111110", -- INDEX 958 + B"01111101" when B"1110111111", -- INDEX 959 + B"01101000" when B"1111000000", -- INDEX 960 + B"01000010" when B"1111000001", -- INDEX 961 + B"00010011" when B"1111000010", -- INDEX 962 + B"11100001" when B"1111000011", -- INDEX 963 + B"10110011" when B"1111000100", -- INDEX 964 + B"10010010" when B"1111000101", -- INDEX 965 + B"10000001" when B"1111000110", -- INDEX 966 + B"10000100" when B"1111000111", -- INDEX 967 + B"10011011" when B"1111001000", -- INDEX 968 + B"11000001" when B"1111001001", -- INDEX 969 + B"11110001" when B"1111001010", -- INDEX 970 + B"00100011" when B"1111001011", -- INDEX 971 + B"01010000" when B"1111001100", -- INDEX 972 + B"01110000" when B"1111001101", -- INDEX 973 + B"01111111" when B"1111001110", -- INDEX 974 + B"01111010" when B"1111001111", -- INDEX 975 + B"01100011" when B"1111010000", -- INDEX 976 + B"00111011" when B"1111010001", -- INDEX 977 + B"00001011" when B"1111010010", -- INDEX 978 + B"11011001" when B"1111010011", -- INDEX 979 + B"10101101" when B"1111010100", -- INDEX 980 + B"10001110" when B"1111010101", -- INDEX 981 + B"10000000" when B"1111010110", -- INDEX 982 + B"10000111" when B"1111010111", -- INDEX 983 + B"10100000" when B"1111011000", -- INDEX 984 + B"11001000" when B"1111011001", -- INDEX 985 + B"11111001" when B"1111011010", -- INDEX 986 + B"00101011" when B"1111011011", -- INDEX 987 + B"01010110" when B"1111011100", -- INDEX 988 + B"01110100" when B"1111011101", -- INDEX 989 + B"01111111" when B"1111011110", -- INDEX 990 + B"01111000" when B"1111011111", -- INDEX 991 + B"01011101" when B"1111100000", -- INDEX 992 + B"00110100" when B"1111100001", -- INDEX 993 + B"00000011" when B"1111100010", -- INDEX 994 + B"11010001" when B"1111100011", -- INDEX 995 + B"10100111" when B"1111100100", -- INDEX 996 + B"10001010" when B"1111100101", -- INDEX 997 + B"10000000" when B"1111100110", -- INDEX 998 + B"10001010" when B"1111100111", -- INDEX 999 + +-- END INPUT FM SIGNAL + B"00000000" when others; + + with counter (09 downto 0) select + test_signal_fmTri_int <= +-- START INPUT FM-TRI SIGNAL + + B"01111111" when B"0000000000", -- INDEX 0 + B"01110110" when B"0000000001", -- INDEX 1 + B"01011011" when B"0000000010", -- INDEX 2 + B"00110010" when B"0000000011", -- INDEX 3 + B"00000010" when B"0000000100", -- INDEX 4 + B"11010001" when B"0000000101", -- INDEX 5 + B"10101000" when B"0000000110", -- INDEX 6 + B"10001011" when B"0000000111", -- INDEX 7 + B"10000000" when B"0000001000", -- INDEX 8 + B"10001000" when B"0000001001", -- INDEX 9 + B"10100010" when B"0000001010", -- INDEX 10 + B"11001010" when B"0000001011", -- INDEX 11 + B"11111010" when B"0000001100", -- INDEX 12 + B"00101011" when B"0000001101", -- INDEX 13 + B"01010110" when B"0000001110", -- INDEX 14 + B"01110011" when B"0000001111", -- INDEX 15 + B"01111111" when B"0000010000", -- INDEX 16 + B"01111001" when B"0000010001", -- INDEX 17 + B"01100000" when B"0000010010", -- INDEX 18 + B"00111001" when B"0000010011", -- INDEX 19 + B"00001001" when B"0000010100", -- INDEX 20 + B"11011000" when B"0000010101", -- INDEX 21 + B"10101101" when B"0000010110", -- INDEX 22 + B"10001110" when B"0000010111", -- INDEX 23 + B"10000000" when B"0000011000", -- INDEX 24 + B"10000110" when B"0000011001", -- INDEX 25 + B"10011110" when B"0000011010", -- INDEX 26 + B"11000100" when B"0000011011", -- INDEX 27 + B"11110100" when B"0000011100", -- INDEX 28 + B"00100101" when B"0000011101", -- INDEX 29 + B"01010001" when B"0000011110", -- INDEX 30 + B"01110001" when B"0000011111", -- INDEX 31 + B"01111111" when B"0000100000", -- INDEX 32 + B"01111011" when B"0000100001", -- INDEX 33 + B"01100100" when B"0000100010", -- INDEX 34 + B"00111110" when B"0000100011", -- INDEX 35 + B"00001111" when B"0000100100", -- INDEX 36 + B"11011101" when B"0000100101", -- INDEX 37 + B"10110001" when B"0000100110", -- INDEX 38 + B"10010001" when B"0000100111", -- INDEX 39 + B"10000001" when B"0000101000", -- INDEX 40 + B"10000100" when B"0000101001", -- INDEX 41 + B"10011010" when B"0000101010", -- INDEX 42 + B"11000000" when B"0000101011", -- INDEX 43 + B"11101111" when B"0000101100", -- INDEX 44 + B"00100000" when B"0000101101", -- INDEX 45 + B"01001101" when B"0000101110", -- INDEX 46 + B"01101110" when B"0000101111", -- INDEX 47 + B"01111111" when B"0000110000", -- INDEX 48 + B"01111100" when B"0000110001", -- INDEX 49 + B"01100111" when B"0000110010", -- INDEX 50 + B"01000010" when B"0000110011", -- INDEX 51 + B"00010011" when B"0000110100", -- INDEX 52 + B"11100010" when B"0000110101", -- INDEX 53 + B"10110101" when B"0000110110", -- INDEX 54 + B"10010011" when B"0000110111", -- INDEX 55 + B"10000010" when B"0000111000", -- INDEX 56 + B"10000011" when B"0000111001", -- INDEX 57 + B"10011000" when B"0000111010", -- INDEX 58 + B"10111100" when B"0000111011", -- INDEX 59 + B"11101011" when B"0000111100", -- INDEX 60 + B"00011101" when B"0000111101", -- INDEX 61 + B"01001010" when B"0000111110", -- INDEX 62 + B"01101100" when B"0000111111", -- INDEX 63 + B"01111110" when B"0001000000", -- INDEX 64 + B"01111101" when B"0001000001", -- INDEX 65 + B"01101001" when B"0001000010", -- INDEX 66 + B"01000101" when B"0001000011", -- INDEX 67 + B"00010111" when B"0001000100", -- INDEX 68 + B"11100101" when B"0001000101", -- INDEX 69 + B"10110111" when B"0001000110", -- INDEX 70 + B"10010101" when B"0001000111", -- INDEX 71 + B"10000010" when B"0001001000", -- INDEX 72 + B"10000011" when B"0001001001", -- INDEX 73 + B"10010110" when B"0001001010", -- INDEX 74 + B"10111010" when B"0001001011", -- INDEX 75 + B"11101000" when B"0001001100", -- INDEX 76 + B"00011010" when B"0001001101", -- INDEX 77 + B"01001000" when B"0001001110", -- INDEX 78 + B"01101011" when B"0001001111", -- INDEX 79 + B"01111110" when B"0001010000", -- INDEX 80 + B"01111101" when B"0001010001", -- INDEX 81 + B"01101010" when B"0001010010", -- INDEX 82 + B"01000111" when B"0001010011", -- INDEX 83 + B"00011001" when B"0001010100", -- INDEX 84 + B"11100111" when B"0001010101", -- INDEX 85 + B"10111001" when B"0001010110", -- INDEX 86 + B"10010101" when B"0001010111", -- INDEX 87 + B"10000010" when B"0001011000", -- INDEX 88 + B"10000010" when B"0001011001", -- INDEX 89 + B"10010110" when B"0001011010", -- INDEX 90 + B"10111001" when B"0001011011", -- INDEX 91 + B"11100111" when B"0001011100", -- INDEX 92 + B"00011001" when B"0001011101", -- INDEX 93 + B"01000111" when B"0001011110", -- INDEX 94 + B"01101010" when B"0001011111", -- INDEX 95 + B"01111110" when B"0001100000", -- INDEX 96 + B"01111110" when B"0001100001", -- INDEX 97 + B"01101011" when B"0001100010", -- INDEX 98 + B"01000111" when B"0001100011", -- INDEX 99 + B"00011001" when B"0001100100", -- INDEX 100 + B"11100111" when B"0001100101", -- INDEX 101 + B"10111001" when B"0001100110", -- INDEX 102 + B"10010110" when B"0001100111", -- INDEX 103 + B"10000011" when B"0001101000", -- INDEX 104 + B"10000010" when B"0001101001", -- INDEX 105 + B"10010101" when B"0001101010", -- INDEX 106 + B"10111001" when B"0001101011", -- INDEX 107 + B"11100111" when B"0001101100", -- INDEX 108 + B"00011001" when B"0001101101", -- INDEX 109 + B"01000111" when B"0001101110", -- INDEX 110 + B"01101010" when B"0001101111", -- INDEX 111 + B"01111110" when B"0001110000", -- INDEX 112 + B"01111110" when B"0001110001", -- INDEX 113 + B"01101010" when B"0001110010", -- INDEX 114 + B"01000111" when B"0001110011", -- INDEX 115 + B"00011001" when B"0001110100", -- INDEX 116 + B"11100111" when B"0001110101", -- INDEX 117 + B"10111000" when B"0001110110", -- INDEX 118 + B"10010101" when B"0001110111", -- INDEX 119 + B"10000010" when B"0001111000", -- INDEX 120 + B"10000011" when B"0001111001", -- INDEX 121 + B"10010110" when B"0001111010", -- INDEX 122 + B"10111010" when B"0001111011", -- INDEX 123 + B"11101000" when B"0001111100", -- INDEX 124 + B"00011010" when B"0001111101", -- INDEX 125 + B"01001000" when B"0001111110", -- INDEX 126 + B"01101011" when B"0001111111", -- INDEX 127 + B"01111110" when B"0010000000", -- INDEX 128 + B"01111101" when B"0010000001", -- INDEX 129 + B"01101001" when B"0010000010", -- INDEX 130 + B"01000101" when B"0010000011", -- INDEX 131 + B"00010111" when B"0010000100", -- INDEX 132 + B"11100101" when B"0010000101", -- INDEX 133 + B"10110111" when B"0010000110", -- INDEX 134 + B"10010100" when B"0010000111", -- INDEX 135 + B"10000010" when B"0010001000", -- INDEX 136 + B"10000011" when B"0010001001", -- INDEX 137 + B"10010111" when B"0010001010", -- INDEX 138 + B"10111100" when B"0010001011", -- INDEX 139 + B"11101011" when B"0010001100", -- INDEX 140 + B"00011101" when B"0010001101", -- INDEX 141 + B"01001010" when B"0010001110", -- INDEX 142 + B"01101101" when B"0010001111", -- INDEX 143 + B"01111110" when B"0010010000", -- INDEX 144 + B"01111101" when B"0010010001", -- INDEX 145 + B"01101000" when B"0010010010", -- INDEX 146 + B"01000011" when B"0010010011", -- INDEX 147 + B"00010100" when B"0010010100", -- INDEX 148 + B"11100010" when B"0010010101", -- INDEX 149 + B"10110100" when B"0010010110", -- INDEX 150 + B"10010010" when B"0010010111", -- INDEX 151 + B"10000001" when B"0010011000", -- INDEX 152 + B"10000100" when B"0010011001", -- INDEX 153 + B"10011010" when B"0010011010", -- INDEX 154 + B"10111111" when B"0010011011", -- INDEX 155 + B"11101110" when B"0010011100", -- INDEX 156 + B"00100001" when B"0010011101", -- INDEX 157 + B"01001110" when B"0010011110", -- INDEX 158 + B"01101111" when B"0010011111", -- INDEX 159 + B"01111111" when B"0010100000", -- INDEX 160 + B"01111011" when B"0010100001", -- INDEX 161 + B"01100101" when B"0010100010", -- INDEX 162 + B"00111111" when B"0010100011", -- INDEX 163 + B"00001111" when B"0010100100", -- INDEX 164 + B"11011101" when B"0010100101", -- INDEX 165 + B"10110000" when B"0010100110", -- INDEX 166 + B"10010000" when B"0010100111", -- INDEX 167 + B"10000001" when B"0010101000", -- INDEX 168 + B"10000101" when B"0010101001", -- INDEX 169 + B"10011101" when B"0010101010", -- INDEX 170 + B"11000011" when B"0010101011", -- INDEX 171 + B"11110011" when B"0010101100", -- INDEX 172 + B"00100110" when B"0010101101", -- INDEX 173 + B"01010010" when B"0010101110", -- INDEX 174 + B"01110001" when B"0010101111", -- INDEX 175 + B"01111111" when B"0010110000", -- INDEX 176 + B"01111010" when B"0010110001", -- INDEX 177 + B"01100001" when B"0010110010", -- INDEX 178 + B"00111010" when B"0010110011", -- INDEX 179 + B"00001001" when B"0010110100", -- INDEX 180 + B"11011000" when B"0010110101", -- INDEX 181 + B"10101100" when B"0010110110", -- INDEX 182 + B"10001101" when B"0010110111", -- INDEX 183 + B"10000000" when B"0010111000", -- INDEX 184 + B"10000111" when B"0010111001", -- INDEX 185 + B"10100001" when B"0010111010", -- INDEX 186 + B"11001001" when B"0010111011", -- INDEX 187 + B"11111010" when B"0010111100", -- INDEX 188 + B"00101100" when B"0010111101", -- INDEX 189 + B"01010111" when B"0010111110", -- INDEX 190 + B"01110100" when B"0010111111", -- INDEX 191 + B"01111111" when B"0011000000", -- INDEX 192 + B"01111000" when B"0011000001", -- INDEX 193 + B"01011101" when B"0011000010", -- INDEX 194 + B"00110100" when B"0011000011", -- INDEX 195 + B"00000010" when B"0011000100", -- INDEX 196 + B"11010001" when B"0011000101", -- INDEX 197 + B"10100111" when B"0011000110", -- INDEX 198 + B"10001010" when B"0011000111", -- INDEX 199 + B"10000000" when B"0011001000", -- INDEX 200 + B"10001010" when B"0011001001", -- INDEX 201 + B"10100110" when B"0011001010", -- INDEX 202 + B"11010000" when B"0011001011", -- INDEX 203 + B"00000001" when B"0011001100", -- INDEX 204 + B"00110011" when B"0011001101", -- INDEX 205 + B"01011100" when B"0011001110", -- INDEX 206 + B"01110111" when B"0011001111", -- INDEX 207 + B"01111111" when B"0011010000", -- INDEX 208 + B"01110101" when B"0011010001", -- INDEX 209 + B"01010111" when B"0011010010", -- INDEX 210 + B"00101101" when B"0011010011", -- INDEX 211 + B"11111011" when B"0011010100", -- INDEX 212 + B"11001010" when B"0011010101", -- INDEX 213 + B"10100001" when B"0011010110", -- INDEX 214 + B"10000111" when B"0011010111", -- INDEX 215 + B"10000000" when B"0011011000", -- INDEX 216 + B"10001101" when B"0011011001", -- INDEX 217 + B"10101011" when B"0011011010", -- INDEX 218 + B"11010111" when B"0011011011", -- INDEX 219 + B"00001001" when B"0011011100", -- INDEX 220 + B"00111001" when B"0011011101", -- INDEX 221 + B"01100001" when B"0011011110", -- INDEX 222 + B"01111010" when B"0011011111", -- INDEX 223 + B"01111111" when B"0011100000", -- INDEX 224 + B"01110010" when B"0011100001", -- INDEX 225 + B"01010010" when B"0011100010", -- INDEX 226 + B"00100110" when B"0011100011", -- INDEX 227 + B"11110100" when B"0011100100", -- INDEX 228 + B"11000100" when B"0011100101", -- INDEX 229 + B"10011101" when B"0011100110", -- INDEX 230 + B"10000101" when B"0011100111", -- INDEX 231 + B"10000001" when B"0011101000", -- INDEX 232 + B"10010000" when B"0011101001", -- INDEX 233 + B"10110000" when B"0011101010", -- INDEX 234 + B"11011100" when B"0011101011", -- INDEX 235 + B"00001110" when B"0011101100", -- INDEX 236 + B"00111110" when B"0011101101", -- INDEX 237 + B"01100101" when B"0011101110", -- INDEX 238 + B"01111011" when B"0011101111", -- INDEX 239 + B"01111111" when B"0011110000", -- INDEX 240 + B"01101111" when B"0011110001", -- INDEX 241 + B"01001110" when B"0011110010", -- INDEX 242 + B"00100001" when B"0011110011", -- INDEX 243 + B"11101111" when B"0011110100", -- INDEX 244 + B"11000000" when B"0011110101", -- INDEX 245 + B"10011010" when B"0011110110", -- INDEX 246 + B"10000100" when B"0011110111", -- INDEX 247 + B"10000001" when B"0011111000", -- INDEX 248 + B"10010010" when B"0011111001", -- INDEX 249 + B"10110011" when B"0011111010", -- INDEX 250 + B"11100001" when B"0011111011", -- INDEX 251 + B"00010011" when B"0011111100", -- INDEX 252 + B"01000010" when B"0011111101", -- INDEX 253 + B"01100111" when B"0011111110", -- INDEX 254 + B"01111100" when B"0011111111", -- INDEX 255 + B"01111110" when B"0100000000", -- INDEX 256 + B"01101101" when B"0100000001", -- INDEX 257 + B"01001011" when B"0100000010", -- INDEX 258 + B"00011101" when B"0100000011", -- INDEX 259 + B"11101011" when B"0100000100", -- INDEX 260 + B"10111100" when B"0100000101", -- INDEX 261 + B"10011000" when B"0100000110", -- INDEX 262 + B"10000011" when B"0100000111", -- INDEX 263 + B"10000010" when B"0100001000", -- INDEX 264 + B"10010100" when B"0100001001", -- INDEX 265 + B"10110110" when B"0100001010", -- INDEX 266 + B"11100100" when B"0100001011", -- INDEX 267 + B"00010110" when B"0100001100", -- INDEX 268 + B"01000101" when B"0100001101", -- INDEX 269 + B"01101001" when B"0100001110", -- INDEX 270 + B"01111101" when B"0100001111", -- INDEX 271 + B"01111110" when B"0100010000", -- INDEX 272 + B"01101100" when B"0100010001", -- INDEX 273 + B"01001001" when B"0100010010", -- INDEX 274 + B"00011011" when B"0100010011", -- INDEX 275 + B"11101001" when B"0100010100", -- INDEX 276 + B"10111010" when B"0100010101", -- INDEX 277 + B"10010110" when B"0100010110", -- INDEX 278 + B"10000011" when B"0100010111", -- INDEX 279 + B"10000010" when B"0100011000", -- INDEX 280 + B"10010101" when B"0100011001", -- INDEX 281 + B"10111000" when B"0100011010", -- INDEX 282 + B"11100110" when B"0100011011", -- INDEX 283 + B"00011000" when B"0100011100", -- INDEX 284 + B"01000110" when B"0100011101", -- INDEX 285 + B"01101010" when B"0100011110", -- INDEX 286 + B"01111101" when B"0100011111", -- INDEX 287 + B"01111110" when B"0100100000", -- INDEX 288 + B"01101011" when B"0100100001", -- INDEX 289 + B"01001000" when B"0100100010", -- INDEX 290 + B"00011001" when B"0100100011", -- INDEX 291 + B"11100111" when B"0100100100", -- INDEX 292 + B"10111001" when B"0100100101", -- INDEX 293 + B"10010110" when B"0100100110", -- INDEX 294 + B"10000011" when B"0100100111", -- INDEX 295 + B"10000010" when B"0100101000", -- INDEX 296 + B"10010101" when B"0100101001", -- INDEX 297 + B"10111001" when B"0100101010", -- INDEX 298 + B"11100111" when B"0100101011", -- INDEX 299 + B"00011001" when B"0100101100", -- INDEX 300 + B"01000111" when B"0100101101", -- INDEX 301 + B"01101010" when B"0100101110", -- INDEX 302 + B"01111101" when B"0100101111", -- INDEX 303 + B"01111110" when B"0100110000", -- INDEX 304 + B"01101011" when B"0100110001", -- INDEX 305 + B"01000111" when B"0100110010", -- INDEX 306 + B"00011001" when B"0100110011", -- INDEX 307 + B"11100111" when B"0100110100", -- INDEX 308 + B"10111001" when B"0100110101", -- INDEX 309 + B"10010110" when B"0100110110", -- INDEX 310 + B"10000011" when B"0100110111", -- INDEX 311 + B"10000010" when B"0100111000", -- INDEX 312 + B"10010101" when B"0100111001", -- INDEX 313 + B"10111000" when B"0100111010", -- INDEX 314 + B"11100110" when B"0100111011", -- INDEX 315 + B"00011000" when B"0100111100", -- INDEX 316 + B"01000110" when B"0100111101", -- INDEX 317 + B"01101010" when B"0100111110", -- INDEX 318 + B"01111101" when B"0100111111", -- INDEX 319 + B"01111110" when B"0101000000", -- INDEX 320 + B"01101011" when B"0101000001", -- INDEX 321 + B"01001000" when B"0101000010", -- INDEX 322 + B"00011010" when B"0101000011", -- INDEX 323 + B"11101001" when B"0101000100", -- INDEX 324 + B"10111010" when B"0101000101", -- INDEX 325 + B"10010111" when B"0101000110", -- INDEX 326 + B"10000011" when B"0101000111", -- INDEX 327 + B"10000010" when B"0101001000", -- INDEX 328 + B"10010100" when B"0101001001", -- INDEX 329 + B"10110111" when B"0101001010", -- INDEX 330 + B"11100100" when B"0101001011", -- INDEX 331 + B"00010110" when B"0101001100", -- INDEX 332 + B"01000101" when B"0101001101", -- INDEX 333 + B"01101001" when B"0101001110", -- INDEX 334 + B"01111101" when B"0101001111", -- INDEX 335 + B"01111110" when B"0101010000", -- INDEX 336 + B"01101100" when B"0101010001", -- INDEX 337 + B"01001010" when B"0101010010", -- INDEX 338 + B"00011101" when B"0101010011", -- INDEX 339 + B"11101011" when B"0101010100", -- INDEX 340 + B"10111101" when B"0101010101", -- INDEX 341 + B"10011000" when B"0101010110", -- INDEX 342 + B"10000011" when B"0101010111", -- INDEX 343 + B"10000010" when B"0101011000", -- INDEX 344 + B"10010011" when B"0101011001", -- INDEX 345 + B"10110100" when B"0101011010", -- INDEX 346 + B"11100001" when B"0101011011", -- INDEX 347 + B"00010011" when B"0101011100", -- INDEX 348 + B"01000010" when B"0101011101", -- INDEX 349 + B"01100111" when B"0101011110", -- INDEX 350 + B"01111100" when B"0101011111", -- INDEX 351 + B"01111111" when B"0101100000", -- INDEX 352 + B"01101110" when B"0101100001", -- INDEX 353 + B"01001101" when B"0101100010", -- INDEX 354 + B"00100000" when B"0101100011", -- INDEX 355 + B"11101111" when B"0101100100", -- INDEX 356 + B"11000000" when B"0101100101", -- INDEX 357 + B"10011011" when B"0101100110", -- INDEX 358 + B"10000100" when B"0101100111", -- INDEX 359 + B"10000001" when B"0101101000", -- INDEX 360 + B"10010001" when B"0101101001", -- INDEX 361 + B"10110001" when B"0101101010", -- INDEX 362 + B"11011101" when B"0101101011", -- INDEX 363 + B"00001111" when B"0101101100", -- INDEX 364 + B"00111110" when B"0101101101", -- INDEX 365 + B"01100100" when B"0101101110", -- INDEX 366 + B"01111011" when B"0101101111", -- INDEX 367 + B"01111111" when B"0101110000", -- INDEX 368 + B"01110001" when B"0101110001", -- INDEX 369 + B"01010001" when B"0101110010", -- INDEX 370 + B"00100101" when B"0101110011", -- INDEX 371 + B"11110100" when B"0101110100", -- INDEX 372 + B"11000100" when B"0101110101", -- INDEX 373 + B"10011110" when B"0101110110", -- INDEX 374 + B"10000110" when B"0101110111", -- INDEX 375 + B"10000000" when B"0101111000", -- INDEX 376 + B"10001110" when B"0101111001", -- INDEX 377 + B"10101101" when B"0101111010", -- INDEX 378 + B"11011000" when B"0101111011", -- INDEX 379 + B"00001001" when B"0101111100", -- INDEX 380 + B"00111001" when B"0101111101", -- INDEX 381 + B"01100000" when B"0101111110", -- INDEX 382 + B"01111001" when B"0101111111", -- INDEX 383 + B"01111111" when B"0110000000", -- INDEX 384 + B"01110011" when B"0110000001", -- INDEX 385 + B"01010110" when B"0110000010", -- INDEX 386 + B"00101011" when B"0110000011", -- INDEX 387 + B"11111010" when B"0110000100", -- INDEX 388 + B"11001010" when B"0110000101", -- INDEX 389 + B"10100010" when B"0110000110", -- INDEX 390 + B"10001000" when B"0110000111", -- INDEX 391 + B"10000000" when B"0110001000", -- INDEX 392 + B"10001011" when B"0110001001", -- INDEX 393 + B"10101000" when B"0110001010", -- INDEX 394 + B"11010001" when B"0110001011", -- INDEX 395 + B"00000010" when B"0110001100", -- INDEX 396 + B"00110010" when B"0110001101", -- INDEX 397 + B"01011011" when B"0110001110", -- INDEX 398 + B"01110110" when B"0110001111", -- INDEX 399 + B"01111111" when B"0110010000", -- INDEX 400 + B"01110110" when B"0110010001", -- INDEX 401 + B"01011011" when B"0110010010", -- INDEX 402 + B"00110010" when B"0110010011", -- INDEX 403 + B"00000010" when B"0110010100", -- INDEX 404 + B"11010001" when B"0110010101", -- INDEX 405 + B"10101000" when B"0110010110", -- INDEX 406 + B"10001011" when B"0110010111", -- INDEX 407 + B"10000000" when B"0110011000", -- INDEX 408 + B"10001000" when B"0110011001", -- INDEX 409 + B"10100010" when B"0110011010", -- INDEX 410 + B"11001010" when B"0110011011", -- INDEX 411 + B"11111010" when B"0110011100", -- INDEX 412 + B"00101011" when B"0110011101", -- INDEX 413 + B"01010110" when B"0110011110", -- INDEX 414 + B"01110011" when B"0110011111", -- INDEX 415 + B"01111111" when B"0110100000", -- INDEX 416 + B"01111001" when B"0110100001", -- INDEX 417 + B"01100000" when B"0110100010", -- INDEX 418 + B"00111001" when B"0110100011", -- INDEX 419 + B"00001001" when B"0110100100", -- INDEX 420 + B"11011000" when B"0110100101", -- INDEX 421 + B"10101101" when B"0110100110", -- INDEX 422 + B"10001110" when B"0110100111", -- INDEX 423 + B"10000000" when B"0110101000", -- INDEX 424 + B"10000110" when B"0110101001", -- INDEX 425 + B"10011110" when B"0110101010", -- INDEX 426 + B"11000100" when B"0110101011", -- INDEX 427 + B"11110100" when B"0110101100", -- INDEX 428 + B"00100101" when B"0110101101", -- INDEX 429 + B"01010001" when B"0110101110", -- INDEX 430 + B"01110001" when B"0110101111", -- INDEX 431 + B"01111111" when B"0110110000", -- INDEX 432 + B"01111011" when B"0110110001", -- INDEX 433 + B"01100100" when B"0110110010", -- INDEX 434 + B"00111110" when B"0110110011", -- INDEX 435 + B"00001111" when B"0110110100", -- INDEX 436 + B"11011101" when B"0110110101", -- INDEX 437 + B"10110001" when B"0110110110", -- INDEX 438 + B"10010001" when B"0110110111", -- INDEX 439 + B"10000001" when B"0110111000", -- INDEX 440 + B"10000100" when B"0110111001", -- INDEX 441 + B"10011010" when B"0110111010", -- INDEX 442 + B"11000000" when B"0110111011", -- INDEX 443 + B"11101111" when B"0110111100", -- INDEX 444 + B"00100000" when B"0110111101", -- INDEX 445 + B"01001101" when B"0110111110", -- INDEX 446 + B"01101110" when B"0110111111", -- INDEX 447 + B"01111111" when B"0111000000", -- INDEX 448 + B"01111100" when B"0111000001", -- INDEX 449 + B"01100111" when B"0111000010", -- INDEX 450 + B"01000010" when B"0111000011", -- INDEX 451 + B"00010011" when B"0111000100", -- INDEX 452 + B"11100010" when B"0111000101", -- INDEX 453 + B"10110101" when B"0111000110", -- INDEX 454 + B"10010011" when B"0111000111", -- INDEX 455 + B"10000010" when B"0111001000", -- INDEX 456 + B"10000011" when B"0111001001", -- INDEX 457 + B"10011000" when B"0111001010", -- INDEX 458 + B"10111100" when B"0111001011", -- INDEX 459 + B"11101011" when B"0111001100", -- INDEX 460 + B"00011101" when B"0111001101", -- INDEX 461 + B"01001010" when B"0111001110", -- INDEX 462 + B"01101100" when B"0111001111", -- INDEX 463 + B"01111110" when B"0111010000", -- INDEX 464 + B"01111101" when B"0111010001", -- INDEX 465 + B"01101001" when B"0111010010", -- INDEX 466 + B"01000101" when B"0111010011", -- INDEX 467 + B"00010111" when B"0111010100", -- INDEX 468 + B"11100101" when B"0111010101", -- INDEX 469 + B"10110111" when B"0111010110", -- INDEX 470 + B"10010101" when B"0111010111", -- INDEX 471 + B"10000010" when B"0111011000", -- INDEX 472 + B"10000011" when B"0111011001", -- INDEX 473 + B"10010110" when B"0111011010", -- INDEX 474 + B"10111010" when B"0111011011", -- INDEX 475 + B"11101000" when B"0111011100", -- INDEX 476 + B"00011010" when B"0111011101", -- INDEX 477 + B"01001000" when B"0111011110", -- INDEX 478 + B"01101011" when B"0111011111", -- INDEX 479 + B"01111110" when B"0111100000", -- INDEX 480 + B"01111101" when B"0111100001", -- INDEX 481 + B"01101010" when B"0111100010", -- INDEX 482 + B"01000111" when B"0111100011", -- INDEX 483 + B"00011001" when B"0111100100", -- INDEX 484 + B"11100111" when B"0111100101", -- INDEX 485 + B"10111001" when B"0111100110", -- INDEX 486 + B"10010101" when B"0111100111", -- INDEX 487 + B"10000010" when B"0111101000", -- INDEX 488 + B"10000010" when B"0111101001", -- INDEX 489 + B"10010110" when B"0111101010", -- INDEX 490 + B"10111001" when B"0111101011", -- INDEX 491 + B"11100111" when B"0111101100", -- INDEX 492 + B"00011001" when B"0111101101", -- INDEX 493 + B"01000111" when B"0111101110", -- INDEX 494 + B"01101010" when B"0111101111", -- INDEX 495 + B"01111110" when B"0111110000", -- INDEX 496 + B"01111110" when B"0111110001", -- INDEX 497 + B"01101011" when B"0111110010", -- INDEX 498 + B"01000111" when B"0111110011", -- INDEX 499 + B"00011001" when B"0111110100", -- INDEX 500 + B"11100111" when B"0111110101", -- INDEX 501 + B"10111001" when B"0111110110", -- INDEX 502 + B"10010110" when B"0111110111", -- INDEX 503 + B"10000011" when B"0111111000", -- INDEX 504 + B"10000010" when B"0111111001", -- INDEX 505 + B"10010101" when B"0111111010", -- INDEX 506 + B"10111001" when B"0111111011", -- INDEX 507 + B"11100111" when B"0111111100", -- INDEX 508 + B"00011001" when B"0111111101", -- INDEX 509 + B"01000111" when B"0111111110", -- INDEX 510 + B"01101010" when B"0111111111", -- INDEX 511 + B"01111110" when B"1000000000", -- INDEX 512 + B"01111110" when B"1000000001", -- INDEX 513 + B"01101010" when B"1000000010", -- INDEX 514 + B"01000111" when B"1000000011", -- INDEX 515 + B"00011001" when B"1000000100", -- INDEX 516 + B"11100111" when B"1000000101", -- INDEX 517 + B"10111000" when B"1000000110", -- INDEX 518 + B"10010101" when B"1000000111", -- INDEX 519 + B"10000010" when B"1000001000", -- INDEX 520 + B"10000011" when B"1000001001", -- INDEX 521 + B"10010110" when B"1000001010", -- INDEX 522 + B"10111010" when B"1000001011", -- INDEX 523 + B"11101000" when B"1000001100", -- INDEX 524 + B"00011010" when B"1000001101", -- INDEX 525 + B"01001000" when B"1000001110", -- INDEX 526 + B"01101011" when B"1000001111", -- INDEX 527 + B"01111110" when B"1000010000", -- INDEX 528 + B"01111101" when B"1000010001", -- INDEX 529 + B"01101001" when B"1000010010", -- INDEX 530 + B"01000101" when B"1000010011", -- INDEX 531 + B"00010111" when B"1000010100", -- INDEX 532 + B"11100101" when B"1000010101", -- INDEX 533 + B"10110111" when B"1000010110", -- INDEX 534 + B"10010100" when B"1000010111", -- INDEX 535 + B"10000010" when B"1000011000", -- INDEX 536 + B"10000011" when B"1000011001", -- INDEX 537 + B"10010111" when B"1000011010", -- INDEX 538 + B"10111100" when B"1000011011", -- INDEX 539 + B"11101011" when B"1000011100", -- INDEX 540 + B"00011101" when B"1000011101", -- INDEX 541 + B"01001010" when B"1000011110", -- INDEX 542 + B"01101101" when B"1000011111", -- INDEX 543 + B"01111110" when B"1000100000", -- INDEX 544 + B"01111101" when B"1000100001", -- INDEX 545 + B"01101000" when B"1000100010", -- INDEX 546 + B"01000011" when B"1000100011", -- INDEX 547 + B"00010100" when B"1000100100", -- INDEX 548 + B"11100010" when B"1000100101", -- INDEX 549 + B"10110100" when B"1000100110", -- INDEX 550 + B"10010010" when B"1000100111", -- INDEX 551 + B"10000001" when B"1000101000", -- INDEX 552 + B"10000100" when B"1000101001", -- INDEX 553 + B"10011010" when B"1000101010", -- INDEX 554 + B"10111111" when B"1000101011", -- INDEX 555 + B"11101110" when B"1000101100", -- INDEX 556 + B"00100001" when B"1000101101", -- INDEX 557 + B"01001110" when B"1000101110", -- INDEX 558 + B"01101111" when B"1000101111", -- INDEX 559 + B"01111111" when B"1000110000", -- INDEX 560 + B"01111011" when B"1000110001", -- INDEX 561 + B"01100101" when B"1000110010", -- INDEX 562 + B"00111111" when B"1000110011", -- INDEX 563 + B"00001111" when B"1000110100", -- INDEX 564 + B"11011101" when B"1000110101", -- INDEX 565 + B"10110000" when B"1000110110", -- INDEX 566 + B"10010000" when B"1000110111", -- INDEX 567 + B"10000001" when B"1000111000", -- INDEX 568 + B"10000101" when B"1000111001", -- INDEX 569 + B"10011101" when B"1000111010", -- INDEX 570 + B"11000011" when B"1000111011", -- INDEX 571 + B"11110011" when B"1000111100", -- INDEX 572 + B"00100110" when B"1000111101", -- INDEX 573 + B"01010010" when B"1000111110", -- INDEX 574 + B"01110001" when B"1000111111", -- INDEX 575 + B"01111111" when B"1001000000", -- INDEX 576 + B"01111010" when B"1001000001", -- INDEX 577 + B"01100001" when B"1001000010", -- INDEX 578 + B"00111010" when B"1001000011", -- INDEX 579 + B"00001001" when B"1001000100", -- INDEX 580 + B"11011000" when B"1001000101", -- INDEX 581 + B"10101100" when B"1001000110", -- INDEX 582 + B"10001101" when B"1001000111", -- INDEX 583 + B"10000000" when B"1001001000", -- INDEX 584 + B"10000111" when B"1001001001", -- INDEX 585 + B"10100001" when B"1001001010", -- INDEX 586 + B"11001001" when B"1001001011", -- INDEX 587 + B"11111010" when B"1001001100", -- INDEX 588 + B"00101100" when B"1001001101", -- INDEX 589 + B"01010111" when B"1001001110", -- INDEX 590 + B"01110100" when B"1001001111", -- INDEX 591 + B"01111111" when B"1001010000", -- INDEX 592 + B"01111000" when B"1001010001", -- INDEX 593 + B"01011101" when B"1001010010", -- INDEX 594 + B"00110100" when B"1001010011", -- INDEX 595 + B"00000010" when B"1001010100", -- INDEX 596 + B"11010001" when B"1001010101", -- INDEX 597 + B"10100111" when B"1001010110", -- INDEX 598 + B"10001010" when B"1001010111", -- INDEX 599 + B"10000000" when B"1001011000", -- INDEX 600 + B"10001010" when B"1001011001", -- INDEX 601 + B"10100110" when B"1001011010", -- INDEX 602 + B"11010000" when B"1001011011", -- INDEX 603 + B"00000001" when B"1001011100", -- INDEX 604 + B"00110011" when B"1001011101", -- INDEX 605 + B"01011100" when B"1001011110", -- INDEX 606 + B"01110111" when B"1001011111", -- INDEX 607 + B"01111111" when B"1001100000", -- INDEX 608 + B"01110101" when B"1001100001", -- INDEX 609 + B"01010111" when B"1001100010", -- INDEX 610 + B"00101101" when B"1001100011", -- INDEX 611 + B"11111011" when B"1001100100", -- INDEX 612 + B"11001010" when B"1001100101", -- INDEX 613 + B"10100001" when B"1001100110", -- INDEX 614 + B"10000111" when B"1001100111", -- INDEX 615 + B"10000000" when B"1001101000", -- INDEX 616 + B"10001101" when B"1001101001", -- INDEX 617 + B"10101011" when B"1001101010", -- INDEX 618 + B"11010111" when B"1001101011", -- INDEX 619 + B"00001001" when B"1001101100", -- INDEX 620 + B"00111001" when B"1001101101", -- INDEX 621 + B"01100001" when B"1001101110", -- INDEX 622 + B"01111010" when B"1001101111", -- INDEX 623 + B"01111111" when B"1001110000", -- INDEX 624 + B"01110010" when B"1001110001", -- INDEX 625 + B"01010010" when B"1001110010", -- INDEX 626 + B"00100110" when B"1001110011", -- INDEX 627 + B"11110100" when B"1001110100", -- INDEX 628 + B"11000100" when B"1001110101", -- INDEX 629 + B"10011101" when B"1001110110", -- INDEX 630 + B"10000101" when B"1001110111", -- INDEX 631 + B"10000001" when B"1001111000", -- INDEX 632 + B"10010000" when B"1001111001", -- INDEX 633 + B"10110000" when B"1001111010", -- INDEX 634 + B"11011100" when B"1001111011", -- INDEX 635 + B"00001110" when B"1001111100", -- INDEX 636 + B"00111110" when B"1001111101", -- INDEX 637 + B"01100101" when B"1001111110", -- INDEX 638 + B"01111011" when B"1001111111", -- INDEX 639 + B"01111111" when B"1010000000", -- INDEX 640 + B"01101111" when B"1010000001", -- INDEX 641 + B"01001110" when B"1010000010", -- INDEX 642 + B"00100001" when B"1010000011", -- INDEX 643 + B"11101111" when B"1010000100", -- INDEX 644 + B"11000000" when B"1010000101", -- INDEX 645 + B"10011010" when B"1010000110", -- INDEX 646 + B"10000100" when B"1010000111", -- INDEX 647 + B"10000001" when B"1010001000", -- INDEX 648 + B"10010010" when B"1010001001", -- INDEX 649 + B"10110011" when B"1010001010", -- INDEX 650 + B"11100001" when B"1010001011", -- INDEX 651 + B"00010011" when B"1010001100", -- INDEX 652 + B"01000010" when B"1010001101", -- INDEX 653 + B"01100111" when B"1010001110", -- INDEX 654 + B"01111100" when B"1010001111", -- INDEX 655 + B"01111110" when B"1010010000", -- INDEX 656 + B"01101101" when B"1010010001", -- INDEX 657 + B"01001011" when B"1010010010", -- INDEX 658 + B"00011101" when B"1010010011", -- INDEX 659 + B"11101011" when B"1010010100", -- INDEX 660 + B"10111100" when B"1010010101", -- INDEX 661 + B"10011000" when B"1010010110", -- INDEX 662 + B"10000011" when B"1010010111", -- INDEX 663 + B"10000010" when B"1010011000", -- INDEX 664 + B"10010100" when B"1010011001", -- INDEX 665 + B"10110110" when B"1010011010", -- INDEX 666 + B"11100100" when B"1010011011", -- INDEX 667 + B"00010110" when B"1010011100", -- INDEX 668 + B"01000101" when B"1010011101", -- INDEX 669 + B"01101001" when B"1010011110", -- INDEX 670 + B"01111101" when B"1010011111", -- INDEX 671 + B"01111110" when B"1010100000", -- INDEX 672 + B"01101100" when B"1010100001", -- INDEX 673 + B"01001001" when B"1010100010", -- INDEX 674 + B"00011011" when B"1010100011", -- INDEX 675 + B"11101001" when B"1010100100", -- INDEX 676 + B"10111010" when B"1010100101", -- INDEX 677 + B"10010110" when B"1010100110", -- INDEX 678 + B"10000011" when B"1010100111", -- INDEX 679 + B"10000010" when B"1010101000", -- INDEX 680 + B"10010101" when B"1010101001", -- INDEX 681 + B"10111000" when B"1010101010", -- INDEX 682 + B"11100110" when B"1010101011", -- INDEX 683 + B"00011000" when B"1010101100", -- INDEX 684 + B"01000110" when B"1010101101", -- INDEX 685 + B"01101010" when B"1010101110", -- INDEX 686 + B"01111101" when B"1010101111", -- INDEX 687 + B"01111110" when B"1010110000", -- INDEX 688 + B"01101011" when B"1010110001", -- INDEX 689 + B"01001000" when B"1010110010", -- INDEX 690 + B"00011001" when B"1010110011", -- INDEX 691 + B"11100111" when B"1010110100", -- INDEX 692 + B"10111001" when B"1010110101", -- INDEX 693 + B"10010110" when B"1010110110", -- INDEX 694 + B"10000011" when B"1010110111", -- INDEX 695 + B"10000010" when B"1010111000", -- INDEX 696 + B"10010101" when B"1010111001", -- INDEX 697 + B"10111001" when B"1010111010", -- INDEX 698 + B"11100111" when B"1010111011", -- INDEX 699 + B"00011001" when B"1010111100", -- INDEX 700 + B"01000111" when B"1010111101", -- INDEX 701 + B"01101010" when B"1010111110", -- INDEX 702 + B"01111101" when B"1010111111", -- INDEX 703 + B"01111110" when B"1011000000", -- INDEX 704 + B"01101011" when B"1011000001", -- INDEX 705 + B"01000111" when B"1011000010", -- INDEX 706 + B"00011001" when B"1011000011", -- INDEX 707 + B"11100111" when B"1011000100", -- INDEX 708 + B"10111001" when B"1011000101", -- INDEX 709 + B"10010110" when B"1011000110", -- INDEX 710 + B"10000011" when B"1011000111", -- INDEX 711 + B"10000010" when B"1011001000", -- INDEX 712 + B"10010101" when B"1011001001", -- INDEX 713 + B"10111000" when B"1011001010", -- INDEX 714 + B"11100110" when B"1011001011", -- INDEX 715 + B"00011000" when B"1011001100", -- INDEX 716 + B"01000110" when B"1011001101", -- INDEX 717 + B"01101010" when B"1011001110", -- INDEX 718 + B"01111101" when B"1011001111", -- INDEX 719 + B"01111110" when B"1011010000", -- INDEX 720 + B"01101011" when B"1011010001", -- INDEX 721 + B"01001000" when B"1011010010", -- INDEX 722 + B"00011010" when B"1011010011", -- INDEX 723 + B"11101001" when B"1011010100", -- INDEX 724 + B"10111010" when B"1011010101", -- INDEX 725 + B"10010111" when B"1011010110", -- INDEX 726 + B"10000011" when B"1011010111", -- INDEX 727 + B"10000010" when B"1011011000", -- INDEX 728 + B"10010100" when B"1011011001", -- INDEX 729 + B"10110111" when B"1011011010", -- INDEX 730 + B"11100100" when B"1011011011", -- INDEX 731 + B"00010110" when B"1011011100", -- INDEX 732 + B"01000101" when B"1011011101", -- INDEX 733 + B"01101001" when B"1011011110", -- INDEX 734 + B"01111101" when B"1011011111", -- INDEX 735 + B"01111110" when B"1011100000", -- INDEX 736 + B"01101100" when B"1011100001", -- INDEX 737 + B"01001010" when B"1011100010", -- INDEX 738 + B"00011101" when B"1011100011", -- INDEX 739 + B"11101011" when B"1011100100", -- INDEX 740 + B"10111101" when B"1011100101", -- INDEX 741 + B"10011000" when B"1011100110", -- INDEX 742 + B"10000011" when B"1011100111", -- INDEX 743 + B"10000010" when B"1011101000", -- INDEX 744 + B"10010011" when B"1011101001", -- INDEX 745 + B"10110100" when B"1011101010", -- INDEX 746 + B"11100001" when B"1011101011", -- INDEX 747 + B"00010011" when B"1011101100", -- INDEX 748 + B"01000010" when B"1011101101", -- INDEX 749 + B"01100111" when B"1011101110", -- INDEX 750 + B"01111100" when B"1011101111", -- INDEX 751 + B"01111111" when B"1011110000", -- INDEX 752 + B"01101110" when B"1011110001", -- INDEX 753 + B"01001101" when B"1011110010", -- INDEX 754 + B"00100000" when B"1011110011", -- INDEX 755 + B"11101111" when B"1011110100", -- INDEX 756 + B"11000000" when B"1011110101", -- INDEX 757 + B"10011011" when B"1011110110", -- INDEX 758 + B"10000100" when B"1011110111", -- INDEX 759 + B"10000001" when B"1011111000", -- INDEX 760 + B"10010001" when B"1011111001", -- INDEX 761 + B"10110001" when B"1011111010", -- INDEX 762 + B"11011101" when B"1011111011", -- INDEX 763 + B"00001111" when B"1011111100", -- INDEX 764 + B"00111110" when B"1011111101", -- INDEX 765 + B"01100100" when B"1011111110", -- INDEX 766 + B"01111011" when B"1011111111", -- INDEX 767 + B"01111111" when B"1100000000", -- INDEX 768 + B"01110001" when B"1100000001", -- INDEX 769 + B"01010001" when B"1100000010", -- INDEX 770 + B"00100101" when B"1100000011", -- INDEX 771 + B"11110100" when B"1100000100", -- INDEX 772 + B"11000100" when B"1100000101", -- INDEX 773 + B"10011110" when B"1100000110", -- INDEX 774 + B"10000110" when B"1100000111", -- INDEX 775 + B"10000000" when B"1100001000", -- INDEX 776 + B"10001110" when B"1100001001", -- INDEX 777 + B"10101101" when B"1100001010", -- INDEX 778 + B"11011000" when B"1100001011", -- INDEX 779 + B"00001001" when B"1100001100", -- INDEX 780 + B"00111001" when B"1100001101", -- INDEX 781 + B"01100000" when B"1100001110", -- INDEX 782 + B"01111001" when B"1100001111", -- INDEX 783 + B"01111111" when B"1100010000", -- INDEX 784 + B"01110011" when B"1100010001", -- INDEX 785 + B"01010110" when B"1100010010", -- INDEX 786 + B"00101011" when B"1100010011", -- INDEX 787 + B"11111010" when B"1100010100", -- INDEX 788 + B"11001010" when B"1100010101", -- INDEX 789 + B"10100010" when B"1100010110", -- INDEX 790 + B"10001000" when B"1100010111", -- INDEX 791 + B"10000000" when B"1100011000", -- INDEX 792 + B"10001011" when B"1100011001", -- INDEX 793 + B"10101000" when B"1100011010", -- INDEX 794 + B"11010001" when B"1100011011", -- INDEX 795 + B"00000010" when B"1100011100", -- INDEX 796 + B"00110010" when B"1100011101", -- INDEX 797 + B"01011011" when B"1100011110", -- INDEX 798 + B"01110110" when B"1100011111", -- INDEX 799 + B"01111111" when B"1100100000", -- INDEX 800 + B"01110110" when B"1100100001", -- INDEX 801 + B"01011011" when B"1100100010", -- INDEX 802 + B"00110010" when B"1100100011", -- INDEX 803 + B"00000010" when B"1100100100", -- INDEX 804 + B"11010001" when B"1100100101", -- INDEX 805 + B"10101000" when B"1100100110", -- INDEX 806 + B"10001011" when B"1100100111", -- INDEX 807 + B"10000000" when B"1100101000", -- INDEX 808 + B"10001000" when B"1100101001", -- INDEX 809 + B"10100010" when B"1100101010", -- INDEX 810 + B"11001010" when B"1100101011", -- INDEX 811 + B"11111010" when B"1100101100", -- INDEX 812 + B"00101011" when B"1100101101", -- INDEX 813 + B"01010110" when B"1100101110", -- INDEX 814 + B"01110011" when B"1100101111", -- INDEX 815 + B"01111111" when B"1100110000", -- INDEX 816 + B"01111001" when B"1100110001", -- INDEX 817 + B"01100000" when B"1100110010", -- INDEX 818 + B"00111001" when B"1100110011", -- INDEX 819 + B"00001001" when B"1100110100", -- INDEX 820 + B"11011000" when B"1100110101", -- INDEX 821 + B"10101101" when B"1100110110", -- INDEX 822 + B"10001110" when B"1100110111", -- INDEX 823 + B"10000000" when B"1100111000", -- INDEX 824 + B"10000110" when B"1100111001", -- INDEX 825 + B"10011110" when B"1100111010", -- INDEX 826 + B"11000100" when B"1100111011", -- INDEX 827 + B"11110100" when B"1100111100", -- INDEX 828 + B"00100101" when B"1100111101", -- INDEX 829 + B"01010001" when B"1100111110", -- INDEX 830 + B"01110001" when B"1100111111", -- INDEX 831 + B"01111111" when B"1101000000", -- INDEX 832 + B"01111011" when B"1101000001", -- INDEX 833 + B"01100100" when B"1101000010", -- INDEX 834 + B"00111110" when B"1101000011", -- INDEX 835 + B"00001111" when B"1101000100", -- INDEX 836 + B"11011101" when B"1101000101", -- INDEX 837 + B"10110001" when B"1101000110", -- INDEX 838 + B"10010001" when B"1101000111", -- INDEX 839 + B"10000001" when B"1101001000", -- INDEX 840 + B"10000100" when B"1101001001", -- INDEX 841 + B"10011010" when B"1101001010", -- INDEX 842 + B"11000000" when B"1101001011", -- INDEX 843 + B"11101111" when B"1101001100", -- INDEX 844 + B"00100000" when B"1101001101", -- INDEX 845 + B"01001101" when B"1101001110", -- INDEX 846 + B"01101110" when B"1101001111", -- INDEX 847 + B"01111111" when B"1101010000", -- INDEX 848 + B"01111100" when B"1101010001", -- INDEX 849 + B"01100111" when B"1101010010", -- INDEX 850 + B"01000010" when B"1101010011", -- INDEX 851 + B"00010011" when B"1101010100", -- INDEX 852 + B"11100010" when B"1101010101", -- INDEX 853 + B"10110101" when B"1101010110", -- INDEX 854 + B"10010011" when B"1101010111", -- INDEX 855 + B"10000010" when B"1101011000", -- INDEX 856 + B"10000011" when B"1101011001", -- INDEX 857 + B"10011000" when B"1101011010", -- INDEX 858 + B"10111100" when B"1101011011", -- INDEX 859 + B"11101011" when B"1101011100", -- INDEX 860 + B"00011101" when B"1101011101", -- INDEX 861 + B"01001010" when B"1101011110", -- INDEX 862 + B"01101100" when B"1101011111", -- INDEX 863 + B"01111110" when B"1101100000", -- INDEX 864 + B"01111101" when B"1101100001", -- INDEX 865 + B"01101001" when B"1101100010", -- INDEX 866 + B"01000101" when B"1101100011", -- INDEX 867 + B"00010111" when B"1101100100", -- INDEX 868 + B"11100101" when B"1101100101", -- INDEX 869 + B"10110111" when B"1101100110", -- INDEX 870 + B"10010101" when B"1101100111", -- INDEX 871 + B"10000010" when B"1101101000", -- INDEX 872 + B"10000011" when B"1101101001", -- INDEX 873 + B"10010110" when B"1101101010", -- INDEX 874 + B"10111010" when B"1101101011", -- INDEX 875 + B"11101000" when B"1101101100", -- INDEX 876 + B"00011010" when B"1101101101", -- INDEX 877 + B"01001000" when B"1101101110", -- INDEX 878 + B"01101011" when B"1101101111", -- INDEX 879 + B"01111110" when B"1101110000", -- INDEX 880 + B"01111101" when B"1101110001", -- INDEX 881 + B"01101010" when B"1101110010", -- INDEX 882 + B"01000111" when B"1101110011", -- INDEX 883 + B"00011001" when B"1101110100", -- INDEX 884 + B"11100111" when B"1101110101", -- INDEX 885 + B"10111001" when B"1101110110", -- INDEX 886 + B"10010101" when B"1101110111", -- INDEX 887 + B"10000010" when B"1101111000", -- INDEX 888 + B"10000010" when B"1101111001", -- INDEX 889 + B"10010110" when B"1101111010", -- INDEX 890 + B"10111001" when B"1101111011", -- INDEX 891 + B"11100111" when B"1101111100", -- INDEX 892 + B"00011001" when B"1101111101", -- INDEX 893 + B"01000111" when B"1101111110", -- INDEX 894 + B"01101010" when B"1101111111", -- INDEX 895 + B"01111110" when B"1110000000", -- INDEX 896 + B"01111110" when B"1110000001", -- INDEX 897 + B"01101011" when B"1110000010", -- INDEX 898 + B"01000111" when B"1110000011", -- INDEX 899 + B"00011001" when B"1110000100", -- INDEX 900 + B"11100111" when B"1110000101", -- INDEX 901 + B"10111001" when B"1110000110", -- INDEX 902 + B"10010110" when B"1110000111", -- INDEX 903 + B"10000011" when B"1110001000", -- INDEX 904 + B"10000010" when B"1110001001", -- INDEX 905 + B"10010101" when B"1110001010", -- INDEX 906 + B"10111001" when B"1110001011", -- INDEX 907 + B"11100111" when B"1110001100", -- INDEX 908 + B"00011001" when B"1110001101", -- INDEX 909 + B"01000111" when B"1110001110", -- INDEX 910 + B"01101010" when B"1110001111", -- INDEX 911 + B"01111110" when B"1110010000", -- INDEX 912 + B"01111110" when B"1110010001", -- INDEX 913 + B"01101010" when B"1110010010", -- INDEX 914 + B"01000111" when B"1110010011", -- INDEX 915 + B"00011001" when B"1110010100", -- INDEX 916 + B"11100111" when B"1110010101", -- INDEX 917 + B"10111000" when B"1110010110", -- INDEX 918 + B"10010101" when B"1110010111", -- INDEX 919 + B"10000010" when B"1110011000", -- INDEX 920 + B"10000011" when B"1110011001", -- INDEX 921 + B"10010110" when B"1110011010", -- INDEX 922 + B"10111010" when B"1110011011", -- INDEX 923 + B"11101000" when B"1110011100", -- INDEX 924 + B"00011010" when B"1110011101", -- INDEX 925 + B"01001000" when B"1110011110", -- INDEX 926 + B"01101011" when B"1110011111", -- INDEX 927 + B"01111110" when B"1110100000", -- INDEX 928 + B"01111101" when B"1110100001", -- INDEX 929 + B"01101001" when B"1110100010", -- INDEX 930 + B"01000101" when B"1110100011", -- INDEX 931 + B"00010111" when B"1110100100", -- INDEX 932 + B"11100101" when B"1110100101", -- INDEX 933 + B"10110111" when B"1110100110", -- INDEX 934 + B"10010100" when B"1110100111", -- INDEX 935 + B"10000010" when B"1110101000", -- INDEX 936 + B"10000011" when B"1110101001", -- INDEX 937 + B"10010111" when B"1110101010", -- INDEX 938 + B"10111100" when B"1110101011", -- INDEX 939 + B"11101011" when B"1110101100", -- INDEX 940 + B"00011101" when B"1110101101", -- INDEX 941 + B"01001010" when B"1110101110", -- INDEX 942 + B"01101101" when B"1110101111", -- INDEX 943 + B"01111110" when B"1110110000", -- INDEX 944 + B"01111101" when B"1110110001", -- INDEX 945 + B"01101000" when B"1110110010", -- INDEX 946 + B"01000011" when B"1110110011", -- INDEX 947 + B"00010100" when B"1110110100", -- INDEX 948 + B"11100010" when B"1110110101", -- INDEX 949 + B"10110100" when B"1110110110", -- INDEX 950 + B"10010010" when B"1110110111", -- INDEX 951 + B"10000001" when B"1110111000", -- INDEX 952 + B"10000100" when B"1110111001", -- INDEX 953 + B"10011010" when B"1110111010", -- INDEX 954 + B"10111111" when B"1110111011", -- INDEX 955 + B"11101110" when B"1110111100", -- INDEX 956 + B"00100001" when B"1110111101", -- INDEX 957 + B"01001110" when B"1110111110", -- INDEX 958 + B"01101111" when B"1110111111", -- INDEX 959 + B"01111111" when B"1111000000", -- INDEX 960 + B"01111011" when B"1111000001", -- INDEX 961 + B"01100101" when B"1111000010", -- INDEX 962 + B"00111111" when B"1111000011", -- INDEX 963 + B"00001111" when B"1111000100", -- INDEX 964 + B"11011101" when B"1111000101", -- INDEX 965 + B"10110000" when B"1111000110", -- INDEX 966 + B"10010000" when B"1111000111", -- INDEX 967 + B"10000001" when B"1111001000", -- INDEX 968 + B"10000101" when B"1111001001", -- INDEX 969 + B"10011101" when B"1111001010", -- INDEX 970 + B"11000011" when B"1111001011", -- INDEX 971 + B"11110011" when B"1111001100", -- INDEX 972 + B"00100110" when B"1111001101", -- INDEX 973 + B"01010010" when B"1111001110", -- INDEX 974 + B"01110001" when B"1111001111", -- INDEX 975 + B"01111111" when B"1111010000", -- INDEX 976 + B"01111010" when B"1111010001", -- INDEX 977 + B"01100001" when B"1111010010", -- INDEX 978 + B"00111010" when B"1111010011", -- INDEX 979 + B"00001001" when B"1111010100", -- INDEX 980 + B"11011000" when B"1111010101", -- INDEX 981 + B"10101100" when B"1111010110", -- INDEX 982 + B"10001101" when B"1111010111", -- INDEX 983 + B"10000000" when B"1111011000", -- INDEX 984 + B"10000111" when B"1111011001", -- INDEX 985 + B"10100001" when B"1111011010", -- INDEX 986 + B"11001001" when B"1111011011", -- INDEX 987 + B"11111010" when B"1111011100", -- INDEX 988 + B"00101100" when B"1111011101", -- INDEX 989 + B"01010111" when B"1111011110", -- INDEX 990 + B"01110100" when B"1111011111", -- INDEX 991 + B"01111111" when B"1111100000", -- INDEX 992 + B"01111000" when B"1111100001", -- INDEX 993 + B"01011101" when B"1111100010", -- INDEX 994 + B"00110100" when B"1111100011", -- INDEX 995 + B"00000010" when B"1111100100", -- INDEX 996 + B"11010001" when B"1111100101", -- INDEX 997 + B"10100111" when B"1111100110", -- INDEX 998 + B"10001010" when B"1111100111", -- INDEX 999 + +-- END INPUT FM SIGNAL + B"00000000" when others; + +end input_data; Index: tags/VSFR_1/Makefile =================================================================== --- tags/VSFR_1/Makefile (nonexistent) +++ tags/VSFR_1/Makefile (revision 3) @@ -0,0 +1,22 @@ +# $Id: Makefile,v 1.1.1.1 2005-01-04 02:05:54 arif_endro Exp $ +# + +all: +# $(MAKE) -C source fm ; + $(MAKE) -C source fm_v; + +export: all +# mv -v source/*.vhd export/vhd/; +# cp -v source/modelsim_vhd.do export/vhd/; + mv -v source/*.v export/verilog/; +# cp -v source/modelsim_v.do export/verilog/; +# cp -v bench/*.vhdl export/vhd; +# cp -v modelsim-bench/*.txt export/vhd; +# cp -v modelsim-bench/*.v export/verilog; +# cp -v modelsim-bench/*.* export/verilog; -- it's in VHDL format +# and didn't work with verilog although can be compiled +clean: + $(MAKE) -C source clean_vhd + $(MAKE) -C docs clean + $(MAKE) -C export/vhd clean_vhd + $(MAKE) -C export/verilog clean_v Index: tags/VSFR_1/README =================================================================== --- tags/VSFR_1/README (nonexistent) +++ tags/VSFR_1/README (revision 3) @@ -0,0 +1,25 @@ +$Id: README,v 1.1.1.1 2005-01-04 02:05:54 arif_endro Exp $ + +Do not edit files in directory export directly +but changes in source directory then use ALLIANCE tools +to analyze and sintesis them. + +there is three test bench the first (e.g modelsim-bench) is for quick test +e.g just hit run -all then this will test in one loop +other can be used for modifying clock signal and +applying reset signal to fm. +directory layout: + source => contain source code development (primary source) + export => contain VHDL and VERILOG exportable code that can + be used on many synthesize tools. + docs => contains documents for reports + bench => the test bench clock and reset can be modified + bench_xil => test bench for Xilinx, this because Xilinx uses + std_logic for signal in synthesized component. + modelsim-bench => quick test bench the clock and reset signal is + supplied by testbench (the old one). + +NOTES: the report is better displayed on postscript than pdf format + this is may be because the dvipdf driver not produces good pdf file. + if you have ghostview or any postscript viewer see the postscript + file to get the best view. Index: tags/VSFR_1 =================================================================== --- tags/VSFR_1 (nonexistent) +++ tags/VSFR_1 (revision 3)
tags/VSFR_1 Property changes : Added: svn:ignore ## -0,0 +1 ## +report

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.