OpenCores
URL https://opencores.org/ocsvn/tsv/tsv/trunk

Subversion Repositories tsv

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/tsv/trunk/test/T001.TSV
0,0 → 1,8
module mkExample (Empty);
//Reg#(Bit#(8)) r <- mkReg(?); // don't-care is used for the
//Reg#(Bit#(8)) r1<- mkReg(8'h33);
Reg#(Bit#(8)) r2<- mkReg(~0);
rule every( True ); // reset value of the Reg
$display("value is %h", r); // the value of r is displayed
endrule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.