OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/versatile_mem_ctrl/trunk/rtl/verilog/sdr_16_defines.v
0,0 → 1,89
`timescale 1ns/1ns
 
 
//
// Specify either type of memory
// or
// BA_SIZE, ROW_SIZE, COL_SIZE and SDRAM_DATA_WIDTH
//
// either in this file or as command line option; +define+MT48LC16M16
//
 
// number of adr lines to use
// 2^2 = 4 32 bit word burst
`define BURST_SIZE 2
 
`define MT48LC16M16
`ifdef MT48LC16M16
// using 1 of MT48LC16M16
// SDRAM data width is 16
`define SDRAM_DATA_WIDTH 16
`define COL_SIZE 9
`define ROW_SIZE 13
`define BA_SIZE 2
 
`define SDRAM16
`define BA tx_fifo_dat_o[28:27]
`define ROW tx_fifo_dat_o[26:14]
`define COL {4'b0000,tx_fifo_dat_o[14:10],burst_adr}
`define WORD_SIZE 1
`define WB_ADR_HI 24
`define WB_ADR_LO 2
`endif // `ifdef MT48LC16M16
 
//`define DEVICE MT48LC4M16
`ifdef MT48LC4M16
// using 1 of MT48LC4M16
// SDRAM data width is 16
`define SDRAM_DATA_WIDTH 16
`define COL_SIZE 8
`define ROW_SIZE 12
`define BA_SIZE 2
 
`define SDRAM16
`define COL {5'b0000,wb_adr_i[8:1]}
`define ROW wb_adr_i[20:9]
`define BA wb_adr_i[22:21]
`define WORD_SIZE 1
`define END_OF_BURST burst_counter[0]
`define WB_ADR_HI 22
`define WB_ADR_LO 1
`endif // `ifdef MT48LC4M16
 
 
// FIFO
`define DLY_INIT 4095
`define AREF_INIT 390
 
// LMR
// [12:10] reserved
// [9] WB, write burst; 0 - programmed burst length, 1 - single location
// [8:7] OP Mode, 2'b00
// [6:4] CAS Latency; 3'b010 - 2, 3'b011 - 3
// [3] BT, Burst Type; 1'b0 - sequential, 1'b1 - interleaved
// [2:0] Burst length; 3'b000 - 1, 3'b001 - 2, 3'b010 - 4, 3'b011 - 8, 3'b111 - full page
`define WB 1'b1
`define CL 2
`define BT 1'b0
`define BL 3'b000
 
// Adr to SDRAM {ba[1:0],a[12:0]}
`define A_LMR {2'b00,3'b000,`WB,2'b00,3'd`CL,`BT,`BL}
`define A_PRE {2'b00,13'b0010000000000}
`define A_ACT {ba,row}
`define A_READ {ba,col}
`define A_WRITE {ba,col}
`define A_DEFAULT {2'b00,13'b0000000000000}
 
// command
`define CMD {ras, cas, we}
`define CMD_NOP 3'b111
`define CMD_AREF 3'b001
`define CMD_LMR 3'b000
`define CMD_PRE 3'b010
`define CMD_ACT 3'b011
`define CMD_READ 3'b101
`define CMD_WRITE 3'b100
`define CMD_BT 3'b110
/versatile_mem_ctrl/trunk/rtl/verilog/versatile_mem_ctrl_defines.v
1,3 → 1,5
`define SDR_16
 
`define PORT0
`define PORT1
//`define PORT2
/versatile_mem_ctrl/trunk/rtl/verilog/inc_adr.v
0,0 → 1,72
module inc_adr
(
input [3:0] adr_i,
input [2:0] cti_i,
input [1:0] bte_i,
input [7:0] fifo_empty,
input init,
input inc,
output reg [3:0] adr_o,
output reg done,
input clk,
input rst
);
 
reg init_i;
reg [1:0] bte;
reg [3:0] cnt;
 
// delay init one clock cycle to be able to read from mem
always @ (posedge clk or posedge rst)
if (rst)
init_i <= 1'b0;
else
init_i <= init;
// bte
always @ (posedge clk or posedge rst)
if (rst)
bte <= 2'b00;
else
if (init_i)
bte <= bte_i;
 
// adr_o
always @ (posedge clk or posedge rst)
if (rst)
adr_o <= 4'd0;
else
if (init_i)
adr_o <= adr_i;
else
if (inc)
case (bte)
2'b01: adr_o <= {adr_o[3:2], adr_o[1:0] + 2'd1};
2'b10: adr_o <= {adr_o[3], adr_o[2:0] + 3'd1};
default: adr_o <= adr_o + 4'd1;
endcase // case (bte)
// done
always @ (posedge clk or posedge rst)
if (rst)
{done,cnt} <= {1'b0,4'd0};
else
if (init_i)
begin
done <= ({bte_i,cti_i} == {2'b00,3'b000});
case (bte_i)
2'b01: cnt <= 4'd12;
2'b10: cnt <= 4'd8;
2'b11: cnt <= 4'd0;
default: cnt <= adr_i;
endcase
end
else
if (inc)
{done,cnt} <= cnt + 4'd1;
 
endmodule // inc_adr
 
/versatile_mem_ctrl/trunk/rtl/verilog/ctrl_counter_defines.v
17,6 → 17,9
// clear
`define CNT_CLEAR
 
// async reset
`define CNT_RESET_VALUE `CNT_LENGTH'h0
 
// set
//`define CNT_SET
`define CNT_SET_VALUE `CNT_LENGTH'h0
/versatile_mem_ctrl/trunk/rtl/verilog/versatile_mem_ctrl_top.v
1,4 → 1,7
`include "wb_sdram_ctrl_defines.v"
`include "versatile_mem_ctrl_defines.v"
`ifdef SDR_16
`include "sdr_16_defines.v"
`endif
 
module wb_sdram_ctrl_top
(
98,6 → 101,19
input wbs7_cyc_i,
input wbs7_stb_i,
output wbs7_ack_o,
`endif // `ifdef PORT7
`ifdef SDR_16
output reg [1:0] ba_pad_o,
output reg [12:0] a_pad_o,
output reg cs_n_pad_o,
output reg ras_pad_o,
output reg cas_pad_o,
output reg we_pad_o,
output reg [15:0] dq_pad_o,
output reg [1:0] dqm_pad_o,
input [15:0] dq_pad_i,
output reg dq_pad_oe,
output cke,
`endif
input wb_clk,
input wb_rst,
105,19 → 121,30
input sdram_clk
);
 
wire tx_fifo_a_dat_i;
wire tx_fifo_we;
wire [2:0] tx_fifo_a_sel_i;
wire [7:0] tx_fifo_full;
wire [35:0] tx_fifo_dat_i, tx_fifo_dat_o, tx_fifo_dat_wb;
wire tx_fifo_we, tx_fifo_re, tx_fifo_wb;
wire [2:0] tx_fifo_a_sel_i, tx_fifo_b_sel_i;
reg [2:0] tx_fifo_b_sel_i_cur;
wire [7:0] tx_fifo_full, tx_fifo_empty;
wire [3:0] burst_adr;
wire adr_init, adr_inc;
wire done;
wire [14:0] a;
wire cs_n;
 
wire ref_req, ref_ack;
// counters to keep track of fifo fill
 
`ifdef PORT0
wire wbs0_flag;
cyc_mask_counter cnt0
ctrl_counter cnt0
(
.clear((&wbs0_cti_i | !|wbs0_cti_i) & (!wbs0_flag | !wbs0_we_i)),
.cke(((tx_fifo_a_sel_i==3'd0)&tx_fifo_a_we) | wbs0_ack_o),
.clear((&wbs0_cti_i | !(|wbs0_cti_i)) & (!wbs0_flag | !wbs0_we_i)),
.cke(((tx_fifo_a_sel_i==3'd0)&tx_fifo_we) | wbs0_ack_o),
.zq(wbs0_flag),
.clk(wb_clk),
.rst(wb_rst)
126,10 → 153,10
 
`ifdef PORT1
wire wbs1_flag;
cyc_mask_counter cnt1
ctrl_counter cnt1
(
.clear((&wbs1_cti_i | !|wbs1_cti_i) & (!wbs1_flag | !wbs1_we_i)),
.cke(((tx_fifo_a_sel_i==3'd1)&tx_fifo_a_we) | wbs1_ack_o),
.clear((&wbs1_cti_i | !(|wbs1_cti_i)) & (!wbs1_flag | !wbs1_we_i)),
.cke(((tx_fifo_a_sel_i==3'd1)&tx_fifo_we) | wbs1_ack_o),
.zq(wbs1_flag),
.clk(wb_clk),
.rst(wb_rst)
138,10 → 165,10
 
`ifdef PORT2
wire wbs2_flag;
cyc_mask_counter cnt2
ctrl_counter cnt2
(
.clear((&wbs2_cti_i | !|wbs2_cti_i) & (!wbs2_flag | !wbs2_we_i)),
.cke(((tx_fifo_a_sel_i==3'd2)&tx_fifo_a_we) | wbs2_ack_o),
.clear((&wbs2_cti_i | !(|wbs2_cti_i)) & (!wbs2_flag | !wbs2_we_i)),
.cke(((tx_fifo_a_sel_i==3'd2)&tx_fifo_we) | wbs2_ack_o),
.zq(wbs2_flag),
.clk(wb_clk),
.rst(wb_rst)
150,10 → 177,10
 
`ifdef PORT3
wire wbs3_flag;
cyc_mask_counter cnt3
ctrl_counter cnt3
(
.clear((&wbs3_cti_i | !|wbs3_cti_i) & (!wbs3_flag | !wbs3_we_i)),
.cke(((tx_fifo_a_sel_i==3'd3)&tx_fifo_a_we) | wbs3_ack_o),
.clear((&wbs3_cti_i | !(|wbs3_cti_i)) & (!wbs3_flag | !wbs3_we_i)),
.cke(((tx_fifo_a_sel_i==3'd3)&tx_fifo_we) | wbs3_ack_o),
.zq(wbs0_flag),
.clk(wb_clk),
.rst(wb_rst)
162,10 → 189,10
 
`ifdef PORT4
wire wbs4_flag;
cyc_mask_counter cnt4
ctrl_counter cnt4
(
.clear((&wbs4_cti_i | !|wbs4_cti_i) & (!wbs4_flag | !wbs4_we_i)),
.cke(((tx_fifo_a_sel_i==3'd4)&tx_fifo_a_we) | wbs4_ack_o),
.clear((&wbs4_cti_i | !(|wbs4_cti_i)) & (!wbs4_flag | !wbs4_we_i)),
.cke(((tx_fifo_a_sel_i==3'd4)&tx_fifo_we) | wbs4_ack_o),
.zq(wbs4_flag),
.clk(wb_clk),
.rst(wb_rst)
174,10 → 201,10
 
`ifdef PORT5
wire wbs5_flag;
cyc_mask_counter cnt5
ctrl_counter cnt5
(
.clear((&wbs5_cti_i | !|wbs5_cti_i) & (!wbs5_flag | !wbs5_we_i)),
.cke(((tx_fifo_a_sel_i==3'd5)&tx_fifo_a_we) | wbs5_ack_o),
.clear((&wbs5_cti_i | !(|wbs5_cti_i)) & (!wbs5_flag | !wbs5_we_i)),
.cke(((tx_fifo_a_sel_i==3'd5)&tx_fifo_we) | wbs5_ack_o),
.zq(wbs5_flag),
.clk(wb_clk),
.rst(wb_rst)
186,10 → 213,10
 
`ifdef PORT6
wire wbs6_flag;
cyc_mask_counter cnt6
ctrl_counter cnt6
(
.clear((&wbs6_cti_i | !|wbs6_cti_i) & (!wbs6_flag | !wbs6_we_i)),
.cke(((tx_fifo_a_sel_i==3'd6)&tx_fifo_a_we) | wbs6_ack_o),
.clear((&wbs6_cti_i | !(|wbs6_cti_i)) & (!wbs6_flag | !wbs6_we_i)),
.cke(((tx_fifo_a_sel_i==3'd6)&tx_fifo_we) | wbs6_ack_o),
.zq(wbs6_flag),
.clk(wb_clk),
.rst(wb_rst)
198,10 → 225,10
 
`ifdef PORT7
wire wbs7_flag;
cyc_mask_counter cnt7
ctrl_counter cnt7
(
.clear((&wbs7_cti_i | !|wbs7_cti_i) & (!wbs7_flag | !wbs7_we_i)),
.cke(((tx_fifo_a_sel_i==3'd7)&tx_fifo_a_we) | wbs7_ack_o),
.clear((&wbs7_cti_i | !(|wbs7_cti_i)) & (!wbs7_flag | !wbs7_we_i)),
.cke(((tx_fifo_a_sel_i==3'd7)&tx_fifo_we) | wbs7_ack_o),
.zq(wbs7_flag),
.clk(wb_clk),
.rst(wb_rst)
298,25 → 325,137
`endif
{wbs0_adr_i,wbs0_we_i,wbs0_bte_i,wbs0_cti_i};
 
fifo tx_fifo
(
// A side
.a_dat_i(tx_fifo_dat_i),
.a_we_i(tx_fifo_we),
.a_fifo_sel_i(tx_fifo_a_sel_i),
.a_fifo_full_o(tx_fifo_full),
.a_clk(wb_clk),
// B side
.b_dat_o(),
.b_dat_i(),
.b_we_i(),
.b_re_i(),
.b_fifo_sel_i(),
.b_fifo_empty_o(),
.b_clk(sdram_clk),
// misc
.rst(wb_rst)
);
fifo tx_fifo
(
// A side
.a_dat_i(tx_fifo_dat_i),
.a_we_i(tx_fifo_we),
.a_fifo_sel_i(tx_fifo_a_sel_i),
.a_fifo_full_o(tx_fifo_full),
.a_clk(wb_clk),
// B side
.b_dat_o(tx_fifo_dat_o),
.b_re_i(tx_fifo_re),
.b_fifo_sel_i(tx_fifo_b_sel_i),
.b_fifo_empty_o(tx_fifo_empty),
.b_clk(sdram_clk),
// misc
.rst(wb_rst)
);
assign tx_fifo_b_sel_i
=
(adr_init & !tx_fifo_empty[4]) ? 3'd4 :
(adr_init & !tx_fifo_empty[5]) ? 3'd5 :
(adr_init & !tx_fifo_empty[6]) ? 3'd6 :
(adr_init & !tx_fifo_empty[7]) ? 3'd7 :
(adr_init & !tx_fifo_empty[0]) ? 3'd0 :
(adr_init & !tx_fifo_empty[1]) ? 3'd1 :
(adr_init & !tx_fifo_empty[2]) ? 3'd2 :
(adr_init & !tx_fifo_empty[3]) ? 3'd3 :
tx_fifo_b_sel_i_cur;
 
always @ (posedge sdram_clk or posedge wb_rst)
if (wb_rst)
tx_fifo_b_sel_i_cur <= 3'd0;
else if (adr_init)
tx_fifo_b_sel_i_cur <= tx_fifo_b_sel_i;
inc_adr inc_adr0
(
.adr_i(tx_fifo_dat_o[9:6]),
.bte_i(tx_fifo_dat_o[4:3]),
.cti_i(tx_fifo_dat_o[2:0]),
.fifo_empty(tx_fifo_empty),
.init(adr_init),
.inc(adr_inc),
.adr_o(burst_adr),
.done(done),
.clk(sdram_clk),
.rst(wb_rst)
);
 
`ifdef SDR_16
reg dq_oe, dq_flag;
wire [2:0] cmd;
 
ref_counter ref_counter0
(
.zq(ref_req),
.clk(sdram_clk),
.rst(wb_rst)
);
// SDR SDRAM 16 FSM
sdr_16 sdr_16_0
(
.adr_inc(adr_inc),
.adr_init(adr_init),
.fifo_re(tx_fifo_re),
.ba(`BA),
.row(`ROW),
.col(`COL),
.we(tx_fifo_dat_o[5]),
.done(done),
.fifo_empty(tx_fifo_empty),
.fifo_sel(tx_fifo_b_sel_i_cur),
// refresh
.ref_req(ref_req),
.ref_ack(ref_ack),
// sdram
.a(a),
.cmd(cmd),
.cs_n(cs_n),
.sdram_clk(sdram_clk),
.wb_rst(wb_rst)
);
 
always @ (posedge sdram_clk or posedge wb_rst)
if (wb_rst)
{dq_pad_o,dqm_pad_o,dq_oe,dq_flag} <= {16'h0000,2'b00,1'b0,1'b0};
else
if (cmd == `CMD_WRITE)
{dq_pad_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[35:20],!tx_fifo_dat_o[3:2],1'b1,1'b1};
else if (dq_flag)
{dq_pad_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[19: 4],!tx_fifo_dat_o[1:0],1'b1,1'b0};
else
{dq_oe,dq_flag} <= {1'b0,1'b0};
 
always @ (posedge sdram_clk or posedge wb_rst)
if (wb_rst)
{ba_pad_o, a_pad_o, cs_n_pad_o, ras_pad_o, cas_pad_o, we_pad_o} <= {2'b00,13'h0,1'b1,`CMD_NOP};
else
{ba_pad_o, a_pad_o, cs_n_pad_o, ras_pad_o, cas_pad_o, we_pad_o} <= {a,cs_n,cmd};
assign cke = 1'b1;
`endif // `ifdef SDR_16
// ack
`ifdef PORT0
assign wbs0_ack_o = !wbs0_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd0);
`endif
`ifdef PORT1
assign wbs1_ack_o = !wbs1_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd1);
`endif
`ifdef PORT2
assign wbs2_ack_o = !wbs2_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd2);
`endif
`ifdef PORT3
assign wbs3_ack_o = !wbs3_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd3);
`endif
`ifdef PORT4
assign wbs4_ack_o = !wbs4_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd4);
`endif
`ifdef PORT5
assign wbs5_ack_o = !wbs5_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd5);
`endif
`ifdef PORT6
assign wbs6_ack_o = !wbs6_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd6);
`endif
`ifdef PORT7
assign wbs7_ack_o = !wbs7_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd7);
`endif
endmodule // wb_sdram_ctrl_top
/versatile_mem_ctrl/trunk/rtl/verilog/fifo.v
1,4 → 1,5
`include "wb_sdram_ctrl_defines.v"
`include "versatile_mem_ctrl_defines.v"
 
module fifo
(
// A side
9,8 → 10,6
input a_clk,
// B side
output [35:0] b_dat_o,
input [35:0] b_dat_i,
input b_we_i,
input b_re_i,
input [2:0] b_fifo_sel_i,
output [7:0] b_fifo_empty_o,
96,7 → 95,7
assign rptr0 = 5'h0;
assign radr0 = 5'h0;
assign a_fifo_full_o[0] = 1'b0;
assign b_fifo_empty_o[0] = 1'b0;
assign b_fifo_empty_o[0] = 1'b1;
`endif // !`ifdef PORT0
// WB#1
140,7 → 139,7
assign rptr1 = 5'h0;
assign radr1 = 5'h0;
assign a_fifo_full_o[1] = 1'b0;
assign b_fifo_empty_o[1] = 1'b0;
assign b_fifo_empty_o[1] = 1'b1;
`endif // !`ifdef PORT1
// WB#2
184,7 → 183,7
assign rptr2 = 5'h0;
assign radr2 = 5'h0;
assign a_fifo_full_o[2] = 1'b0;
assign b_fifo_empty_o[2] = 1'b0;
assign b_fifo_empty_o[2] = 1'b1;
`endif // !`ifdef PORT2
// WB#3
228,7 → 227,7
assign rptr3 = 5'h0;
assign radr3 = 5'h0;
assign a_fifo_full_o[3] = 1'b0;
assign b_fifo_empty_o[3] = 1'b0;
assign b_fifo_empty_o[3] = 1'b1;
`endif // !`ifdef PORT3
// WB#4
272,7 → 271,7
assign rptr4 = 5'h0;
assign radr4 = 5'h0;
assign a_fifo_full_o[4] = 1'b0;
assign b_fifo_empty_o[4] = 1'b0;
assign b_fifo_empty_o[4] = 1'b1;
`endif // !`ifdef PORT4
// WB#5
316,7 → 315,7
assign rptr5 = 5'h0;
assign radr5 = 5'h0;
assign a_fifo_full_o[5] = 1'b0;
assign b_fifo_empty_o[5] = 1'b0;
assign b_fifo_empty_o[5] = 1'b1;
`endif // !`ifdef PORT5
// WB#6
360,7 → 359,7
assign rptr6 = 5'h0;
assign radr6 = 5'h0;
assign a_fifo_full_o[6] = 1'b0;
assign b_fifo_empty_o[6] = 1'b0;
assign b_fifo_empty_o[6] = 1'b1;
`endif // !`ifdef PORT6
// WB#7
404,25 → 403,25
assign rptr7 = 5'h0;
assign radr7 = 5'h0;
assign a_fifo_full_o[7] = 1'b0;
assign b_fifo_empty_o[7] = 1'b0;
assign b_fifo_empty_o[7] = 1'b1;
`endif // !`ifdef PORT7
assign dpram_a_a = (a_fifo_sel_i==2'd0) ? {a_fifo_sel_i,wadr0} :
(a_fifo_sel_i==2'd1) ? {a_fifo_sel_i,wadr1} :
(a_fifo_sel_i==2'd2) ? {a_fifo_sel_i,wadr2} :
(a_fifo_sel_i==2'd3) ? {a_fifo_sel_i,wadr3} :
(a_fifo_sel_i==2'd4) ? {a_fifo_sel_i,wadr4} :
(a_fifo_sel_i==2'd5) ? {a_fifo_sel_i,wadr5} :
(a_fifo_sel_i==2'd6) ? {a_fifo_sel_i,wadr6} :
assign dpram_a_a = (a_fifo_sel_i==3'd0) ? {a_fifo_sel_i,wadr0} :
(a_fifo_sel_i==3'd1) ? {a_fifo_sel_i,wadr1} :
(a_fifo_sel_i==3'd2) ? {a_fifo_sel_i,wadr2} :
(a_fifo_sel_i==3'd3) ? {a_fifo_sel_i,wadr3} :
(a_fifo_sel_i==3'd4) ? {a_fifo_sel_i,wadr4} :
(a_fifo_sel_i==3'd5) ? {a_fifo_sel_i,wadr5} :
(a_fifo_sel_i==3'd6) ? {a_fifo_sel_i,wadr6} :
{a_fifo_sel_i,wadr7} ;
 
assign dpram_a_b = (b_fifo_sel_i==2'd0) ? {b_fifo_sel_i,radr0} :
(b_fifo_sel_i==2'd1) ? {b_fifo_sel_i,radr1} :
(b_fifo_sel_i==2'd2) ? {b_fifo_sel_i,radr2} :
(b_fifo_sel_i==2'd3) ? {b_fifo_sel_i,radr3} :
(b_fifo_sel_i==2'd4) ? {b_fifo_sel_i,radr4} :
(b_fifo_sel_i==2'd5) ? {b_fifo_sel_i,radr5} :
(b_fifo_sel_i==2'd6) ? {b_fifo_sel_i,radr6} :
assign dpram_a_b = (b_fifo_sel_i==3'd0) ? {b_fifo_sel_i,radr0} :
(b_fifo_sel_i==3'd1) ? {b_fifo_sel_i,radr1} :
(b_fifo_sel_i==3'd2) ? {b_fifo_sel_i,radr2} :
(b_fifo_sel_i==3'd3) ? {b_fifo_sel_i,radr3} :
(b_fifo_sel_i==3'd4) ? {b_fifo_sel_i,radr4} :
(b_fifo_sel_i==3'd5) ? {b_fifo_sel_i,radr5} :
(b_fifo_sel_i==3'd6) ? {b_fifo_sel_i,radr6} :
{b_fifo_sel_i,radr7} ;
441,8 → 440,8
.clk_a(a_clk),
.q_b(b_dat_o),
.adr_b(dpram_a_b),
.d_b(b_dat_i),
.we_b(b_we_i),
.d_b(36'h0),
.we_b(1'b0),
.clk_b(b_clk)
);
 
/versatile_mem_ctrl/trunk/rtl/verilog/fifo_adr_counter_defines.v
17,6 → 17,8
// clear
//`define CNT_CLEAR
 
// async reset
`define CNT_RESET_VALUE `CNT_LENGTH'h0
// set
//`define CNT_SET
`define CNT_SET_VALUE `CNT_LENGTH'h0
/versatile_mem_ctrl/trunk/rtl/verilog/sdr_16.fzm
0,0 → 1,13362
## File last modified by Fizzim: 10:46:28 on 2009-06-24
<version>
8.04.05
</version>
<globals>
<machine>
<name>
<status>
ABS
</status>
<value>
sdr_16
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</name>
<clock>
<status>
ABS
</status>
<value>
sdram_clk
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
posedge
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</clock>
<reset_signal>
<status>
ABS
</status>
<value>
wb_rst
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
posedge
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</reset_signal>
<reset_state>
<status>
ABS
</status>
<value>
IDLE
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</reset_state>
<implied_loopback>
<status>
GLOBAL_FIXED
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</implied_loopback>
<insert_at_top_of_file>
<status>
GLOBAL_FIXED
</status>
<value>
`include "sdr_16_defines.v"
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</insert_at_top_of_file>
</machine>
<inputs>
<ref_req>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</ref_req>
<sdram_clk>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</sdram_clk>
<wb_rst>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</wb_rst>
<fifo_empty[7:0]>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</fifo_empty[7:0]>
<fifo_sel[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</fifo_sel[2:0]>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</we>
<done>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</done>
<ba[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</ba[1:0]>
<col[12:0]>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</col[12:0]>
<row[12:0]>
<status>
GLOBAL_FIXED
</status>
<value>
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</row[12:0]>
</inputs>
<outputs>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
comb
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</fifo_re>
</outputs>
<state>
<name>
<status>
ABS
</status>
<value>
def_name
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</fifo_re>
</state>
<trans>
<name>
<status>
ABS
</status>
<value>
def_name
<status>
GLOBAL_VAR
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
-1
</page>
</priority>
</trans>
</globals>
<tabs>
DECLARATION
INIT
COMMAND
</tabs>
## START PREFERENCES
<SCounter>
43
</SCounter>
<TCounter>
65
</TCounter>
<TableVis>
true
</TableVis>
<TableSpace>
20
</TableSpace>
<TableFont>
Arial
11
</TableFont>
<TableColor>
-16777216
</TableColor>
<Font>
Arial
11
</Font>
<Grid>
false
25
</Grid>
## END PREFERENCES
## START OBJECTS
<textObj>
fzm_globalTable
<x>
10
</x>
<y>
10
</y>
<page>
1
</page>
</textObj>
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
IDLE
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
117
</x0>
<y0>
125
</y0>
<x1>
217
</x1>
<y1>
225
</y1>
<reset>
true
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
PRE
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_PRE
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_PRE
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
426
</x0>
<y0>
52
</y0>
<x1>
526
</x1>
<y1>
152
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
ARF1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_AREF
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
426
</x0>
<y0>
319
</y0>
<x1>
526
</x1>
<y1>
419
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
ARF2
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_AREF
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
1
</x2Obj>
<y2Obj>
13
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
427
</x0>
<y0>
591
</y0>
<x1>
527
</x1>
<y1>
691
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
LMR
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_LMR
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_LMR
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
428
</x0>
<y0>
885
</y0>
<x1>
528
</x1>
<y1>
985
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans0
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-79
</x2Obj>
<y2Obj>
-4
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
IDLE
</startState>
<endState>
PRE
</endState>
<startPtX>
216.0
</startPtX>
<startPtY>
166.0
</startPtY>
<endPtX>
426.0
</endPtX>
<endPtY>
110.0
</endPtY>
<startCtrlPtX>
258.0
</startCtrlPtX>
<startCtrlPtY>
158.0
</startCtrlPtY>
<endCtrlPtY>
371.0
</endCtrlPtY>
<endCtrlPtY>
106.0
</endCtrlPtY>
<startStateIndex>
35
</startStateIndex>
<endStateIndex>
17
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
<textObj>
Initialization of SDRAM
<x>
96
</x>
<y>
78
</y>
<page>
2
</page>
</textObj>
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
426
</x0>
<y0>
184
</y0>
<x1>
526
</x1>
<y1>
284
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
AWAIT_CMD
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
!(&fifo_empty)
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
LOCAL
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
3
</x2Obj>
<y2Obj>
9
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
189
</x0>
<y0>
91
</y0>
<x1>
289
</x1>
<y1>
191
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
AREF
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_AREF
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
412
</x0>
<y0>
92
</y0>
<x1>
512
</x1>
<y1>
192
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans8
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ref_req
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
-9
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
AWAIT_CMD
</startState>
<endState>
AREF
</endState>
<startPtX>
288.0
</startPtX>
<startPtY>
132.0
</startPtY>
<endPtX>
412.0
</endPtX>
<endPtY>
150.0
</endPtY>
<startCtrlPtX>
326.0
</startCtrlPtX>
<startCtrlPtY>
133.0
</startCtrlPtY>
<endCtrlPtY>
378.0
</endCtrlPtY>
<endCtrlPtY>
148.0
</endCtrlPtY>
<startStateIndex>
35
</startStateIndex>
<endStateIndex>
17
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans9
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-4
</x2Obj>
<y2Obj>
-5
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
AREF
</startState>
<endState>
AWAIT_CMD
</endState>
<startPtX>
512.0
</startPtX>
<startPtY>
142.0
</startPtY>
<endPtX>
289.0
</endPtX>
<endPtY>
141.0
</endPtY>
<startCtrlPtX>
393.0
</startCtrlPtX>
<startCtrlPtY>
130.0
</startCtrlPtY>
<endCtrlPtY>
317.0
</endCtrlPtY>
<endCtrlPtY>
142.0
</endCtrlPtY>
<startStateIndex>
0
</startStateIndex>
<endStateIndex>
0
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
756.0
</PageSX>
<pageSY>
142.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
true
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans11
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
LMR
</startState>
<endState>
AWAIT_CMD
</endState>
<startPtX>
528.0
</startPtX>
<startPtY>
935.0
</startPtY>
<endPtX>
189.0
</endPtX>
<endPtY>
141.0
</endPtY>
<startCtrlPtX>
548.0
</startCtrlPtX>
<startCtrlPtY>
935.0
</startCtrlPtY>
<endCtrlPtY>
169.0
</endCtrlPtY>
<endCtrlPtY>
141.0
</endCtrlPtY>
<startStateIndex>
0
</startStateIndex>
<endStateIndex>
0
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
886.0
</PageSX>
<pageSY>
935.0
</pageSY>
<pageSCX>
866.0
</PageSCX>
<pageSCY>
935.0
</pageSCY>
<pageEX>
50.0
</PageEX>
<pageEY>
141.0
</pageEY>
<pageECX>
70.0
</PageECX>
<pageECY>
141.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
ACT_ROW
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_ACT
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_ACT
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
189
</x0>
<y0>
274
</y0>
<x1>
289
</x1>
<y1>
374
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP4
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
207
</x0>
<y0>
468
</y0>
<x1>
307
</x1>
<y1>
568
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans13
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
13
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
ACT_ROW
</startState>
<endState>
NOP4
</endState>
<startPtX>
239.0
</startPtX>
<startPtY>
374.0
</startPtY>
<endPtX>
257.0
</endPtX>
<endPtY>
468.0
</endPtY>
<startCtrlPtX>
239.0
</startCtrlPtX>
<startCtrlPtY>
395.0
</startCtrlPtY>
<endCtrlPtY>
257.0
</endCtrlPtY>
<endCtrlPtY>
446.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans14
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
14
</x2Obj>
<y2Obj>
-7
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
30
</x2Obj>
<y2Obj>
-40
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP4
</startState>
<endState>
READ
</endState>
<startPtX>
282.0
</startPtX>
<startPtY>
561.0
</startPtY>
<endPtX>
330.0
</endPtX>
<endPtY>
597.0
</endPtY>
<startCtrlPtX>
302.0
</startCtrlPtX>
<startCtrlPtY>
587.0
</startCtrlPtY>
<endCtrlPtY>
304.0
</endCtrlPtY>
<endCtrlPtY>
595.0
</endCtrlPtY>
<startStateIndex>
6
</startStateIndex>
<endStateIndex>
20
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
READ
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_READ
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_READ
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
2
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
327
</x0>
<y0>
565
</y0>
<x1>
427
</x1>
<y1>
665
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans17
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
7
</x2Obj>
<y2Obj>
-13
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-6
</x2Obj>
<y2Obj>
38
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
READ
</startState>
<endState>
NOP6
</endState>
<startPtX>
426.0
</startPtX>
<startPtY>
623.0
</startPtY>
<endPtX>
477.0
</endPtX>
<endPtY>
622.0
</endPtY>
<startCtrlPtX>
441.0
</startCtrlPtX>
<startCtrlPtY>
622.0
</startCtrlPtY>
<endCtrlPtY>
462.0
</endCtrlPtY>
<endCtrlPtY>
621.0
</endCtrlPtY>
<startStateIndex>
1
</startStateIndex>
<endStateIndex>
17
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
667.0
</PageSX>
<pageSY>
452.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
WRITE
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
-5
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_WRITE
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-1
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_WRITE
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
2
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
325
</x0>
<y0>
694
</y0>
<x1>
425
</x1>
<y1>
794
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP6
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
1
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
!done
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
55
</x2Obj>
<y2Obj>
-56
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
477
</x0>
<y0>
564
</y0>
<x1>
577
</x1>
<y1>
664
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans25
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
-11
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-2
</x2Obj>
<y2Obj>
20
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
PRECHARGE
</startState>
<endState>
AWAIT_CMD
</endState>
<startPtX>
760.0
</startPtX>
<startPtY>
613.0
</startPtY>
<endPtX>
247.0
</endPtX>
<endPtY>
190.0
</endPtY>
<startCtrlPtX>
336.0
</startCtrlPtX>
<startCtrlPtY>
719.0
</startCtrlPtY>
<endCtrlPtY>
237.0
</endCtrlPtY>
<endCtrlPtY>
343.0
</endCtrlPtY>
<startStateIndex>
0
</startStateIndex>
<endStateIndex>
8
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
820.0
</PageSX>
<pageSY>
613.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
true
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
PRECHARGE
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_PRE
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_PRE
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
!(&fifo_empty) & !ref_req
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
660
</x0>
<y0>
563
</y0>
<x1>
760
</x1>
<y1>
663
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans26
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
done
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
1
</x2Obj>
<y2Obj>
-8
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP6
</startState>
<endState>
PRECHARGE
</endState>
<startPtX>
577.0
</startPtX>
<startPtY>
614.0
</startPtY>
<endPtX>
660.0
</endPtX>
<endPtY>
613.0
</endPtY>
<startCtrlPtX>
594.0
</startCtrlPtX>
<startCtrlPtY>
614.0
</startCtrlPtY>
<endCtrlPtY>
642.0
</endCtrlPtY>
<endCtrlPtY>
613.0
</endCtrlPtY>
<startStateIndex>
0
</startStateIndex>
<endStateIndex>
18
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans34
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-15
</x2Obj>
<y2Obj>
-43
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP6
</startState>
<endState>
READ
</endState>
<startPtX>
527.0
</startPtX>
<startPtY>
564.0
</startPtY>
<endPtX>
394.0
</endPtX>
<endPtY>
568.0
</endPtY>
<startCtrlPtX>
501.0
</startCtrlPtX>
<startCtrlPtY>
526.0
</startCtrlPtY>
<endCtrlPtY>
410.0
</endCtrlPtY>
<endCtrlPtY>
524.0
</endCtrlPtY>
<startStateIndex>
27
</startStateIndex>
<endStateIndex>
29
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP7
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
!done & !fifo_empty[fifo_sel]
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
102
</x2Obj>
<y2Obj>
69
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
!done & !fifo_empty[fifo_sel]
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
104
</x2Obj>
<y2Obj>
74
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
481
</x0>
<y0>
693
</y0>
<x1>
581
</x1>
<y1>
793
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans36
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
1
</x2Obj>
<y2Obj>
-13
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
WRITE
</startState>
<endState>
NOP7
</endState>
<startPtX>
425.0
</startPtX>
<startPtY>
744.0
</startPtY>
<endPtX>
481.0
</endPtX>
<endPtY>
743.0
</endPtY>
<startCtrlPtX>
437.0
</startCtrlPtX>
<startCtrlPtY>
744.0
</startCtrlPtY>
<endCtrlPtY>
468.0
</endCtrlPtY>
<endCtrlPtY>
743.0
</endCtrlPtY>
<startStateIndex>
0
</startStateIndex>
<endStateIndex>
18
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans37
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
!fifo_empty[fifo_sel]
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-7
</x2Obj>
<y2Obj>
56
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP7
</startState>
<endState>
WRITE
</endState>
<startPtX>
531.0
</startPtX>
<startPtY>
793.0
</startPtY>
<endPtX>
400.0
</endPtX>
<endPtY>
787.0
</endPtY>
<startCtrlPtX>
507.0
</startCtrlPtX>
<startCtrlPtY>
841.0
</startCtrlPtY>
<endCtrlPtY>
413.0
</endCtrlPtY>
<endCtrlPtY>
836.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
6
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans38
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
done
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-15
</x2Obj>
<y2Obj>
5
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP7
</startState>
<endState>
PRECHARGE
</endState>
<startPtX>
581.0
</startPtX>
<startPtY>
743.0
</startPtY>
<endPtX>
685.0
</endPtX>
<endPtY>
656.0
</endPtY>
<startCtrlPtX>
631.0
</startCtrlPtX>
<startCtrlPtY>
740.0
</startCtrlPtY>
<endCtrlPtY>
657.0
</endCtrlPtY>
<endCtrlPtY>
716.0
</endCtrlPtY>
<startStateIndex>
0
</startStateIndex>
<endStateIndex>
12
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans40
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
!(&fifo_empty)
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
50
</x2Obj>
<y2Obj>
6
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
AWAIT_CMD
</startState>
<endState>
ACT_ROW
</endState>
<startPtX>
239.0
</startPtX>
<startPtY>
191.0
</startPtY>
<endPtX>
239.0
</endPtX>
<endPtY>
274.0
</endPtY>
<startCtrlPtX>
239.0
</startCtrlPtX>
<startCtrlPtY>
228.0
</startCtrlPtY>
<endCtrlPtY>
239.0
</endCtrlPtY>
<endCtrlPtY>
236.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP5
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
LOCAL
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
!fifo_empty[fifo_sel]
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</fifo_re>
</attributes>
<x0>
167
</x0>
<y0>
688
</y0>
<x1>
267
</x1>
<y1>
788
</y1>
<reset>
false
</reset>
<page>
3
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans42
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
we
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-63
</x2Obj>
<y2Obj>
2
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
ACT_ROW
</startState>
<endState>
NOP5
</endState>
<startPtX>
239.0
</startPtX>
<startPtY>
374.0
</startPtY>
<endPtX>
184.0
</endPtX>
<endPtY>
699.0
</endPtY>
<startCtrlPtX>
165.0
</startCtrlPtX>
<startCtrlPtY>
486.0
</startCtrlPtY>
<endCtrlPtY>
148.0
</endCtrlPtY>
<endCtrlPtY>
601.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
23
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans43
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
!fifo_empty[fifo_sel]
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-5
</x2Obj>
<y2Obj>
55
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP5
</startState>
<endState>
WRITE
</endState>
<startPtX>
266.0
</startPtX>
<startPtY>
746.0
</startPtY>
<endPtX>
325.0
</endPtX>
<endPtY>
752.0
</endPtY>
<startCtrlPtX>
282.0
</startCtrlPtX>
<startCtrlPtY>
750.0
</startCtrlPtY>
<endCtrlPtY>
293.0
</endCtrlPtY>
<endCtrlPtY>
756.0
</endCtrlPtY>
<startStateIndex>
1
</startStateIndex>
<endStateIndex>
17
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans44
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
!(&fifo_empty)
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
8
</x2Obj>
<y2Obj>
-71
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
2
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
2
</x2Obj>
<y2Obj>
-67
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
PRECHARGE
</startState>
<endState>
ACT_ROW
</endState>
<startPtX>
701.0
</startPtX>
<startPtY>
563.0
</startPtY>
<endPtX>
282.0
</endPtX>
<endPtY>
349.0
</endPtY>
<startCtrlPtX>
691.0
</startCtrlPtX>
<startCtrlPtY>
319.0
</startCtrlPtY>
<endCtrlPtY>
369.0
</endCtrlPtY>
<endCtrlPtY>
348.0
</endCtrlPtY>
<startStateIndex>
26
</startStateIndex>
<endStateIndex>
3
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans45
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ref_req
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
71
</x2Obj>
<y2Obj>
-50
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
78
</x2Obj>
<y2Obj>
-45
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
PRECHARGE
</startState>
<endState>
AREF
</endState>
<startPtX>
718.0
</startPtX>
<startPtY>
563.0
</startPtY>
<endPtX>
462.0
</endPtX>
<endPtY>
192.0
</endPtY>
<startCtrlPtX>
727.0
</startCtrlPtX>
<startCtrlPtY>
278.0
</startCtrlPtY>
<endCtrlPtY>
460.0
</endCtrlPtY>
<endCtrlPtY>
327.0
</endCtrlPtY>
<startStateIndex>
28
</startStateIndex>
<endStateIndex>
9
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans57
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
14
</x2Obj>
<y2Obj>
5
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
ARF1
</startState>
<endState>
NOP2
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
419.0
</startPtY>
<endPtX>
476.0
</endPtX>
<endPtY>
456.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
426.0
</startCtrlPtY>
<endCtrlPtY>
476.0
</endCtrlPtY>
<endCtrlPtY>
448.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans60
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
38
</x2Obj>
<y2Obj>
4
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
NOP1
</startState>
<endState>
ARF1
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
284.0
</startPtY>
<endPtX>
476.0
</endPtX>
<endPtY>
319.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
293.0
</startCtrlPtY>
<endCtrlPtY>
475.0
</endCtrlPtY>
<endCtrlPtY>
301.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP2
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
426
</x0>
<y0>
456
</y0>
<x1>
526
</x1>
<y1>
556
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP3
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
428
</x0>
<y0>
731
</y0>
<x1>
528
</x1>
<y1>
831
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans61
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
PRE
</startState>
<endState>
NOP1
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
152.0
</startPtY>
<endPtX>
476.0
</endPtX>
<endPtY>
184.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
158.0
</startCtrlPtY>
<endCtrlPtY>
476.0
</endCtrlPtY>
<endCtrlPtY>
177.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans62
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ref_req
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
32
</x2Obj>
<y2Obj>
2
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
NOP2
</startState>
<endState>
ARF2
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
556.0
</startPtY>
<endPtX>
477.0
</endPtX>
<endPtY>
591.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
561.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
576.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans63
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
17
</x2Obj>
<y2Obj>
2
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
ARF2
</startState>
<endState>
NOP3
</endState>
<startPtX>
477.0
</startPtX>
<startPtY>
691.0
</startPtY>
<endPtX>
478.0
</endPtX>
<endPtY>
731.0
</endPtY>
<startCtrlPtX>
477.0
</startCtrlPtX>
<startCtrlPtY>
698.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
717.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans64
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ref_req
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
45
</x2Obj>
<y2Obj>
10
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
NOP3
</startState>
<endState>
LMR
</endState>
<startPtX>
478.0
</startPtX>
<startPtY>
831.0
</startPtY>
<endPtX>
478.0
</endPtX>
<endPtY>
885.0
</endPtY>
<startCtrlPtX>
478.0
</startCtrlPtX>
<startCtrlPtY>
842.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
873.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## END OBJECTS
/versatile_mem_ctrl/trunk/rtl/verilog/ref_counter_defines.v
0,0 → 1,41
// module name
`define CNT_MODULE_NAME ref_counter
 
// counter type = [BINARY, GRAY, LFSR]
//`define CNT_TYPE_BINARY
//`define CNT_TYPE_GRAY
`define CNT_TYPE_LFSR
 
// q as output
//`define CNT_Q
// for gray type counter optional binary output
//`define CNT_Q_BIN
 
// number of CNT bins
`define CNT_LENGTH 10
 
// clear
//`define CNT_CLEAR
 
// async reset
`define CNT_RESET_VALUE `CNT_LENGTH'h0
 
// set
//`define CNT_SET
`define CNT_SET_VALUE `CNT_LENGTH'h0
 
// wrap around creates shorter cycle than maximum length
`define CNT_WRAP
`define CNT_WRAP_VALUE `CNT_LENGTH'h3ffff
 
// clock enable
//`define CNT_CE
 
// q_next as an output
//`define CNT_QNEXT
 
// q=0 as an output
//`define CNT_Z
 
// q_next=0 as a registered output
`define CNT_ZQ
/versatile_mem_ctrl/trunk/rtl/verilog/Makefile
17,7 → 17,16
vpp versatile_counter.v > tmp1.v
vppreproc --simple tmp1.v | cat copyright.v - > ctrl_counter.v
 
sdr_16:
perl fizzim.pl -encoding onehot < sdr_16.fzm > tmp1.v
vppreproc --simple tmp1.v > sdr_16.v
 
ref_counter:
cp ref_counter_defines.v versatile_counter_defines.v
vpp versatile_counter.v > tmp1.v
vppreproc --simple tmp1.v | cat copyright.v - > ref_counter.v
 
versatile_mem_ctrl:
vppreproc --simple versatile_mem_ctrl_top.v ctrl_counter.v fifo.v | cat copyright.v - > versatile_mem_ctrl_ip.v
vppreproc --simple versatile_fifo_async_cmp.v versatile_fifo_dual_port_ram_dc_dw.v fifo_adr_counter.v ctrl_counter.v fifo.v inc_adr.v ref_counter.v sdr_16.v versatile_mem_ctrl_top.v | cat copyright.v - > versatile_mem_ctrl_ip.v
 
all: ctrl_counter dual_port_ram fifo_adr_counter fifo versatile_mem_ctrl
all: ctrl_counter dual_port_ram fifo_adr_counter ref_counter sdr_16 versatile_mem_ctrl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.