OpenCores
URL https://opencores.org/ocsvn/wb_conmax/wb_conmax/trunk

Subversion Repositories wb_conmax

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/tags/start/bench/verilog/wb_mast_model.v
0,0 → 1,683
/////////////////////////////////////////////////////////////////////
//// ////
//// WISHBONE Master Model ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000 Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer.////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// CVS Log
//
// $Id: wb_mast_model.v,v 1.1.1.1 2001-10-19 11:04:23 rudi Exp $
//
// $Date: 2001-10-19 11:04:23 $
// $Revision: 1.1.1.1 $
// $Author: rudi $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//
//
 
`include "wb_model_defines.v"
 
module wb_mast(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
 
input clk, rst;
output [31:0] adr;
input [31:0] din;
output [31:0] dout;
output cyc, stb;
output [3:0] sel;
output we;
input ack, err, rty;
 
////////////////////////////////////////////////////////////////////
//
// Local Wires
//
 
parameter mem_size = 4096;
 
reg [31:0] adr;
reg [31:0] dout;
reg cyc, stb;
reg [3:0] sel;
reg we;
 
reg [31:0] mem[mem_size:0];
integer cnt;
 
////////////////////////////////////////////////////////////////////
//
// Memory Logic
//
 
initial
begin
//adr = 32'hxxxx_xxxx;
//adr = 0;
adr = 32'hffff_ffff;
dout = 32'hxxxx_xxxx;
cyc = 0;
stb = 0;
sel = 4'hx;
we = 1'hx;
cnt = 0;
#1;
$display("\nINFO: WISHBONE MASTER MODEL INSTANTIATED (%m)\n");
end
 
 
 
task mem_fill;
 
integer n;
begin
cnt = 0;
cnt = 0;
for(n=0;n<mem_size;n=n+1)
begin
mem[n] = $random;
end
end
endtask
 
////////////////////////////////////////////////////////////////////
//
// Write 1 Word Task
//
 
task wb_wr1;
input [31:0] a;
input [3:0] s;
input [31:0] d;
 
begin
 
//@(posedge clk);
#1;
adr = a;
dout = d;
cyc = 1;
stb = 1;
we=1;
sel = s;
 
@(posedge clk);
while(~ack & ~err) @(posedge clk);
#1;
cyc=0;
stb=0;
adr = 32'hxxxx_xxxx;
//adr = 32'hffff_ffff;
//adr = 0;
dout = 32'hxxxx_xxxx;
we = 1'hx;
sel = 4'hx;
adr = $random;
 
end
endtask
 
////////////////////////////////////////////////////////////////////
//
// Write 4 Words Task
//
 
task wb_wr4;
input [31:0] a;
input [3:0] s;
input delay;
input [31:0] d1;
input [31:0] d2;
input [31:0] d3;
input [31:0] d4;
 
integer delay;
 
begin
 
@(posedge clk);
#1;
cyc = 1;
sel = s;
 
adr = $random;
repeat(delay)
begin
@(posedge clk);
#1;
end
adr = a;
dout = d1;
stb = 1;
we=1;
while(~ack & ~err) @(posedge clk);
#2;
stb=0;
we=1'bx;
dout = 32'hxxxx_xxxx;
adr = $random;
 
 
repeat(delay)
begin
@(posedge clk);
#1;
end
stb=1;
adr = a+4;
dout = d2;
we=1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
#2;
stb=0;
we=1'bx;
dout = 32'hxxxx_xxxx;
 
repeat(delay)
begin
@(posedge clk);
#1;
end
stb=1;
adr = a+8;
dout = d3;
we=1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
#2;
stb=0;
we=1'bx;
dout = 32'hxxxx_xxxx;
adr = $random;
 
repeat(delay)
begin
@(posedge clk);
#1;
end
stb=1;
adr = a+12;
dout = d4;
we=1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
#1;
stb=0;
cyc=0;
 
adr = 32'hxxxx_xxxx;
adr = $random;
//adr = 0;
//adr = 32'hffff_ffff;
dout = 32'hxxxx_xxxx;
we = 1'hx;
sel = 4'hx;
 
end
endtask
 
 
task wb_wr_mult;
input [31:0] a;
input [3:0] s;
input delay;
input count;
 
integer delay;
integer count;
integer n;
 
begin
 
//@(posedge clk);
#1;
cyc = 1;
adr = $random;
for(n=0;n<count;n=n+1)
begin
repeat(delay)
begin
@(posedge clk);
#1;
end
adr = a + (n*4);
dout = mem[n + cnt];
stb = 1;
we=1;
sel = s;
if(n!=0) @(posedge clk);
while(~ack & ~err) @(posedge clk);
#2;
stb=0;
we=1'bx;
sel = 4'hx;
dout = 32'hxxxx_xxxx;
//adr = 32'hxxxx_xxxx;
adr = $random;
end
 
cyc=0;
 
adr = 32'hxxxx_xxxx;
//adr = 32'hffff_ffff;
 
cnt = cnt + count;
end
endtask
 
 
task wb_rmw;
input [31:0] a;
input [3:0] s;
input delay;
input rcount;
input wcount;
 
integer delay;
integer rcount;
integer wcount;
integer n;
 
begin
 
@(posedge clk);
#1;
cyc = 1;
we = 0;
sel = s;
repeat(delay) @(posedge clk);
 
for(n=0;n<rcount-1;n=n+1)
begin
adr = a + (n*4);
stb = 1;
while(~ack & ~err) @(posedge clk);
mem[n + cnt] = din;
//$display("Rd Mem[%0d]: %h", (n + cnt), mem[n + cnt] );
#2;
stb=0;
we = 1'hx;
sel = 4'hx;
adr = 32'hxxxx_xxxx;
repeat(delay)
begin
@(posedge clk);
#1;
end
we = 0;
sel = s;
end
 
adr = a+(n*4);
stb = 1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
mem[n + cnt] = din;
//$display("Rd Mem[%0d]: %h", (n + cnt), mem[n + cnt] );
#1;
stb=0;
we = 1'hx;
sel = 4'hx;
adr = 32'hxxxx_xxxx;
 
cnt = cnt + rcount;
 
//@(posedge clk);
 
 
for(n=0;n<wcount;n=n+1)
begin
repeat(delay)
begin
@(posedge clk);
#1;
end
adr = a + (n*4);
dout = mem[n + cnt];
stb = 1;
we=1;
sel = s;
// if(n!=0)
@(posedge clk);
while(~ack & ~err) @(posedge clk);
#2;
stb=0;
we=1'bx;
sel = 4'hx;
dout = 32'hxxxx_xxxx;
adr = 32'hxxxx_xxxx;
end
 
cyc=0;
 
adr = 32'hxxxx_xxxx;
//adr = 32'hffff_ffff;
 
cnt = cnt + wcount;
end
endtask
 
 
 
 
task wb_wmr;
input [31:0] a;
input [3:0] s;
input delay;
input rcount;
input wcount;
 
integer delay;
integer rcount;
integer wcount;
integer n;
 
begin
 
@(posedge clk);
#1;
cyc = 1;
we = 1'bx;
sel = 4'hx;
sel = s;
 
for(n=0;n<wcount;n=n+1)
begin
repeat(delay)
begin
@(posedge clk);
#1;
end
adr = a + (n*4);
dout = mem[n + cnt];
stb = 1;
we=1;
sel = s;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
#2;
stb=0;
we=1'bx;
sel = 4'hx;
dout = 32'hxxxx_xxxx;
adr = 32'hxxxx_xxxx;
end
 
cnt = cnt + wcount;
stb=0;
repeat(delay) @(posedge clk);
#1;
 
sel = s;
we = 0;
for(n=0;n<rcount-1;n=n+1)
begin
adr = a + (n*4);
stb = 1;
while(~ack & ~err) @(posedge clk);
mem[n + cnt] = din;
//$display("Rd Mem[%0d]: %h", (n + cnt), mem[n + cnt] );
#2;
stb=0;
we = 1'hx;
sel = 4'hx;
adr = 32'hxxxx_xxxx;
repeat(delay)
begin
@(posedge clk);
#1;
end
we = 0;
sel = s;
end
 
adr = a+(n*4);
stb = 1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
mem[n + cnt] = din;
cnt = cnt + rcount;
//$display("Rd Mem[%0d]: %h", (n + cnt), mem[n + cnt] );
#1;
 
cyc = 0;
stb = 0;
we = 1'hx;
sel = 4'hx;
adr = 32'hxxxx_xxxx;
 
end
endtask
 
 
 
 
////////////////////////////////////////////////////////////////////
//
// Read 1 Word Task
//
 
task wb_rd1;
input [31:0] a;
input [3:0] s;
output [31:0] d;
 
begin
 
//@(posedge clk);
#1;
adr = a;
cyc = 1;
stb = 1;
we = 0;
sel = s;
 
//@(posedge clk);
while(~ack & ~err) @(posedge clk);
d = din;
#1;
cyc=0;
stb=0;
//adr = 32'hxxxx_xxxx;
//adr = 0;
adr = 32'hffff_ffff;
dout = 32'hxxxx_xxxx;
we = 1'hx;
sel = 4'hx;
adr = $random;
 
end
endtask
 
 
////////////////////////////////////////////////////////////////////
//
// Read 4 Words Task
//
 
 
task wb_rd4;
input [31:0] a;
input [3:0] s;
input delay;
output [31:0] d1;
output [31:0] d2;
output [31:0] d3;
output [31:0] d4;
 
integer delay;
begin
 
@(posedge clk);
#1;
cyc = 1;
we = 0;
adr = $random;
sel = s;
repeat(delay) @(posedge clk);
 
adr = a;
stb = 1;
while(~ack & ~err) @(posedge clk);
d1 = din;
#2;
stb=0;
we = 1'hx;
sel = 4'hx;
adr = $random;
repeat(delay)
begin
@(posedge clk);
#1;
end
we = 0;
sel = s;
 
adr = a+4;
stb = 1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
d2 = din;
#2;
stb=0;
we = 1'hx;
sel = 4'hx;
adr = $random;
repeat(delay)
begin
@(posedge clk);
#1;
end
we = 0;
sel = s;
 
 
adr = a+8;
stb = 1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
d3 = din;
#2;
stb=0;
we = 1'hx;
sel = 4'hx;
adr = $random;
repeat(delay)
begin
@(posedge clk);
#1;
end
we = 0;
sel = s;
 
adr = a+12;
stb = 1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
d4 = din;
#1;
stb=0;
cyc=0;
we = 1'hx;
sel = 4'hx;
adr = 32'hffff_ffff;
adr = $random;
end
endtask
 
 
 
task wb_rd_mult;
input [31:0] a;
input [3:0] s;
input delay;
input count;
 
integer delay;
integer count;
integer n;
 
begin
 
//@(posedge clk);
#1;
cyc = 1;
we = 0;
sel = s;
repeat(delay) @(posedge clk);
 
for(n=0;n<count-1;n=n+1)
begin
adr = a + (n*4);
stb = 1;
while(~ack & ~err) @(posedge clk);
mem[n + cnt] = din;
#2;
stb=0;
we = 1'hx;
sel = 4'hx;
//adr = 32'hxxxx_xxxx;
adr = $random;
repeat(delay)
begin
@(posedge clk);
#1;
end
we = 0;
sel = s;
end
 
adr = a+(n*4);
stb = 1;
@(posedge clk);
while(~ack & ~err) @(posedge clk);
mem[n + cnt] = din;
#1;
stb=0;
cyc=0;
we = 1'hx;
sel = 4'hx;
//adr = 32'hffff_ffff;
//adr = 32'hxxxx_xxxx;
adr = $random;
 
cnt = cnt + count;
end
endtask
 
endmodule
/tags/start/bench/verilog/wb_slv_model.v
0,0 → 1,157
/////////////////////////////////////////////////////////////////////
//// ////
//// WISHBONE Slave Model ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
//// Downloaded from: http://www.opencores.org/cores/wb_dma/ ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2001 Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer.////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// CVS Log
//
// $Id: wb_slv_model.v,v 1.1.1.1 2001-10-19 11:04:25 rudi Exp $
//
// $Date: 2001-10-19 11:04:25 $
// $Revision: 1.1.1.1 $
// $Author: rudi $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
// Revision 1.1 2001/07/29 08:57:02 rudi
//
//
// 1) Changed Directory Structure
// 2) Added restart signal (REST)
//
// Revision 1.1.1.1 2001/03/19 13:11:29 rudi
// Initial Release
//
//
//
 
`include "wb_model_defines.v"
 
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
 
input clk, rst;
input [31:0] adr, din;
output [31:0] dout;
input cyc, stb;
input [3:0] sel;
input we;
output ack, err, rty;
 
////////////////////////////////////////////////////////////////////
//
// Local Wires
//
 
parameter mem_size = 13;
parameter sz = (1<<mem_size)-1;
 
reg [31:0] mem[sz:0];
wire mem_re, mem_we;
wire [31:0] tmp;
reg [31:0] dout, tmp2;
 
reg err, rty;
reg [31:0] del_ack;
reg [5:0] delay;
 
////////////////////////////////////////////////////////////////////
//
// Memory Logic
//
 
initial
begin
delay = 0;
err = 0;
rty = 0;
#2;
$display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
$display(" Memory Size %0d address lines %0d words\n",
mem_size, sz+1);
end
 
assign mem_re = cyc & stb & !we;
assign mem_we = cyc & stb & we;
 
assign tmp = mem[adr[mem_size+1:2]];
 
always @(sel or tmp or mem_re or ack)
if(mem_re & ack)
begin
dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
end
else dout <= #1 32'hzzzz_zzzz;
 
 
always @(sel or tmp or din)
begin
tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
end
 
always @(posedge clk)
if(mem_we) mem[adr[mem_size+1:2]] <= #1 tmp2;
 
always @(posedge clk)
del_ack = ack ? 0 : {del_ack[30:0], (mem_re | mem_we)};
 
assign #1 ack = cyc & ((delay==0) ? (mem_re | mem_we) : del_ack[delay-1]);
 
task fill_mem;
input mode;
 
integer n, mode;
 
begin
 
for(n=0;n<(sz+1);n=n+1)
begin
case(mode)
0: mem[n] = { ~n[15:0], n[15:0] };
1: mem[n] = $random;
endcase
end
 
end
endtask
 
endmodule
/tags/start/bench/verilog/test_bench_top.v
0,0 → 1,1054
/////////////////////////////////////////////////////////////////////
//// ////
//// Top Level Test Bench ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000 Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer.////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// CVS Log
//
// $Id: test_bench_top.v,v 1.1.1.1 2001-10-19 11:04:25 rudi Exp $
//
// $Date: 2001-10-19 11:04:25 $
// $Revision: 1.1.1.1 $
// $Author: rudi $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//
//
//
 
 
`include "wb_conmax_defines.v"
 
module test;
 
reg clk;
reg rst;
 
// IO Prototypes
wire [31:0] m0_data_i;
wire [31:0] m0_data_o;
wire [31:0] m0_addr_i;
wire [3:0] m0_sel_i;
wire m0_we_i;
wire m0_cyc_i;
wire m0_stb_i;
wire m0_ack_o;
wire m0_err_o;
wire m0_rty_o;
wire [31:0] m1_data_i;
wire [31:0] m1_data_o;
wire [31:0] m1_addr_i;
wire [3:0] m1_sel_i;
wire m1_we_i;
wire m1_cyc_i;
wire m1_stb_i;
wire m1_ack_o;
wire m1_err_o;
wire m1_rty_o;
wire [31:0] m2_data_i;
wire [31:0] m2_data_o;
wire [31:0] m2_addr_i;
wire [3:0] m2_sel_i;
wire m2_we_i;
wire m2_cyc_i;
wire m2_stb_i;
wire m2_ack_o;
wire m2_err_o;
wire m2_rty_o;
wire [31:0] m3_data_i;
wire [31:0] m3_data_o;
wire [31:0] m3_addr_i;
wire [3:0] m3_sel_i;
wire m3_we_i;
wire m3_cyc_i;
wire m3_stb_i;
wire m3_ack_o;
wire m3_err_o;
wire m3_rty_o;
wire [31:0] m4_data_i;
wire [31:0] m4_data_o;
wire [31:0] m4_addr_i;
wire [3:0] m4_sel_i;
wire m4_we_i;
wire m4_cyc_i;
wire m4_stb_i;
wire m4_ack_o;
wire m4_err_o;
wire m4_rty_o;
wire [31:0] m5_data_i;
wire [31:0] m5_data_o;
wire [31:0] m5_addr_i;
wire [3:0] m5_sel_i;
wire m5_we_i;
wire m5_cyc_i;
wire m5_stb_i;
wire m5_ack_o;
wire m5_err_o;
wire m5_rty_o;
wire [31:0] m6_data_i;
wire [31:0] m6_data_o;
wire [31:0] m6_addr_i;
wire [3:0] m6_sel_i;
wire m6_we_i;
wire m6_cyc_i;
wire m6_stb_i;
wire m6_ack_o;
wire m6_err_o;
wire m6_rty_o;
wire [31:0] m7_data_i;
wire [31:0] m7_data_o;
wire [31:0] m7_addr_i;
wire [3:0] m7_sel_i;
wire m7_we_i;
wire m7_cyc_i;
wire m7_stb_i;
wire m7_ack_o;
wire m7_err_o;
wire m7_rty_o;
wire [31:0] s0_data_i;
wire [31:0] s0_data_o;
wire [31:0] s0_addr_o;
wire [3:0] s0_sel_o;
wire s0_we_o;
wire s0_cyc_o;
wire s0_stb_o;
wire s0_ack_i;
wire s0_err_i;
wire s0_rty_i;
wire [31:0] s1_data_i;
wire [31:0] s1_data_o;
wire [31:0] s1_addr_o;
wire [3:0] s1_sel_o;
wire s1_we_o;
wire s1_cyc_o;
wire s1_stb_o;
wire s1_ack_i;
wire s1_err_i;
wire s1_rty_i;
wire [31:0] s2_data_i;
wire [31:0] s2_data_o;
wire [31:0] s2_addr_o;
wire [3:0] s2_sel_o;
wire s2_we_o;
wire s2_cyc_o;
wire s2_stb_o;
wire s2_ack_i;
wire s2_err_i;
wire s2_rty_i;
wire [31:0] s3_data_i;
wire [31:0] s3_data_o;
wire [31:0] s3_addr_o;
wire [3:0] s3_sel_o;
wire s3_we_o;
wire s3_cyc_o;
wire s3_stb_o;
wire s3_ack_i;
wire s3_err_i;
wire s3_rty_i;
wire [31:0] s4_data_i;
wire [31:0] s4_data_o;
wire [31:0] s4_addr_o;
wire [3:0] s4_sel_o;
wire s4_we_o;
wire s4_cyc_o;
wire s4_stb_o;
wire s4_ack_i;
wire s4_err_i;
wire s4_rty_i;
wire [31:0] s5_data_i;
wire [31:0] s5_data_o;
wire [31:0] s5_addr_o;
wire [3:0] s5_sel_o;
wire s5_we_o;
wire s5_cyc_o;
wire s5_stb_o;
wire s5_ack_i;
wire s5_err_i;
wire s5_rty_i;
wire [31:0] s6_data_i;
wire [31:0] s6_data_o;
wire [31:0] s6_addr_o;
wire [3:0] s6_sel_o;
wire s6_we_o;
wire s6_cyc_o;
wire s6_stb_o;
wire s6_ack_i;
wire s6_err_i;
wire s6_rty_i;
wire [31:0] s7_data_i;
wire [31:0] s7_data_o;
wire [31:0] s7_addr_o;
wire [3:0] s7_sel_o;
wire s7_we_o;
wire s7_cyc_o;
wire s7_stb_o;
wire s7_ack_i;
wire s7_err_i;
wire s7_rty_i;
wire [31:0] s8_data_i;
wire [31:0] s8_data_o;
wire [31:0] s8_addr_o;
wire [3:0] s8_sel_o;
wire s8_we_o;
wire s8_cyc_o;
wire s8_stb_o;
wire s8_ack_i;
wire s8_err_i;
wire s8_rty_i;
wire [31:0] s9_data_i;
wire [31:0] s9_data_o;
wire [31:0] s9_addr_o;
wire [3:0] s9_sel_o;
wire s9_we_o;
wire s9_cyc_o;
wire s9_stb_o;
wire s9_ack_i;
wire s9_err_i;
wire s9_rty_i;
wire [31:0] s10_data_i;
wire [31:0] s10_data_o;
wire [31:0] s10_addr_o;
wire [3:0] s10_sel_o;
wire s10_we_o;
wire s10_cyc_o;
wire s10_stb_o;
wire s10_ack_i;
wire s10_err_i;
wire s10_rty_i;
wire [31:0] s11_data_i;
wire [31:0] s11_data_o;
wire [31:0] s11_addr_o;
wire [3:0] s11_sel_o;
wire s11_we_o;
wire s11_cyc_o;
wire s11_stb_o;
wire s11_ack_i;
wire s11_err_i;
wire s11_rty_i;
wire [31:0] s12_data_i;
wire [31:0] s12_data_o;
wire [31:0] s12_addr_o;
wire [3:0] s12_sel_o;
wire s12_we_o;
wire s12_cyc_o;
wire s12_stb_o;
wire s12_ack_i;
wire s12_err_i;
wire s12_rty_i;
wire [31:0] s13_data_i;
wire [31:0] s13_data_o;
wire [31:0] s13_addr_o;
wire [3:0] s13_sel_o;
wire s13_we_o;
wire s13_cyc_o;
wire s13_stb_o;
wire s13_ack_i;
wire s13_err_i;
wire s13_rty_i;
wire [31:0] s14_data_i;
wire [31:0] s14_data_o;
wire [31:0] s14_addr_o;
wire [3:0] s14_sel_o;
wire s14_we_o;
wire s14_cyc_o;
wire s14_stb_o;
wire s14_ack_i;
wire s14_err_i;
wire s14_rty_i;
wire [31:0] s15_data_i;
wire [31:0] s15_data_o;
wire [31:0] s15_addr_o;
wire [3:0] s15_sel_o;
wire s15_we_o;
wire s15_cyc_o;
wire s15_stb_o;
wire s15_ack_i;
wire s15_err_i;
wire s15_rty_i;
 
 
// Test Bench Variables
reg [31:0] wd_cnt;
integer error_cnt;
integer verbose;
 
// Misc Variables
 
/////////////////////////////////////////////////////////////////////
//
// Defines
//
 
 
/////////////////////////////////////////////////////////////////////
//
// Simulation Initialization and Start up Section
//
 
 
initial
begin
$timeformat (-9, 1, " ns", 10);
 
$display("\n\n");
$display("*****************************************************");
$display("* WISHBONE Connection Matrix Simulation started ... *");
$display("*****************************************************");
$display("\n");
 
`ifdef WAVES
$shm_open("waves");
$shm_probe("AS",test,"AS");
$display("INFO: Signal dump enabled ...\n\n");
`endif
wd_cnt = 0;
error_cnt = 0;
clk = 1;
rst = 1;
verbose = 1;
 
repeat(5) @(posedge clk);
s0.delay = 1;
s1.delay = 1;
s2.delay = 1;
s3.delay = 1;
s4.delay = 1;
s5.delay = 1;
s6.delay = 1;
s7.delay = 1;
s8.delay = 1;
s9.delay = 1;
s10.delay = 1;
s11.delay = 1;
s12.delay = 1;
s13.delay = 1;
s14.delay = 1;
s15.delay = 1;
#1;
rst = 0;
repeat(5) @(posedge clk);
 
// HERE IS WHERE THE TEST CASES GO ...
 
if(1) // Full Regression Run
begin
$display(" ......................................................");
$display(" : :");
$display(" : Regression Run ... :");
$display(" :....................................................:");
verbose = 0;
 
test_dp1;
test_rf;
test_arb1;
test_arb2;
test_dp2;
 
end
else
if(1) // Debug Tests
begin
$display(" ......................................................");
$display(" : :");
$display(" : Test Debug Testing ... :");
$display(" :....................................................:");
 
test_dp2;
 
end
 
repeat(100) @(posedge clk);
$finish;
end // End of Initial
 
/////////////////////////////////////////////////////////////////////
//
// Clock Generation
//
 
always #5 clk = ~clk;
 
/////////////////////////////////////////////////////////////////////
//
// Watchdog Counter
//
 
always @(posedge clk)
if(m0_ack_o | m1_ack_o | m2_ack_o | m3_ack_o |
m4_ack_o | m5_ack_o | m6_ack_o | m7_ack_o)
wd_cnt = 0;
else
wd_cnt = wd_cnt +1;
 
always @(wd_cnt)
if(wd_cnt > 5000)
begin
$display("\n*******************************************");
$display("*** ERROR: Watchdog Counter Expired ... ***");
$display("*******************************************\n");
$finish;
end
 
/////////////////////////////////////////////////////////////////////
//
// IO Monitors
//
 
/////////////////////////////////////////////////////////////////////
//
// WISHBONE Inter Connect
//
 
wb_conmax_top #(32,
32,
4'hf,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2,
2'd2
) conmax(
.clk_i( clk ),
.rst_i( rst ),
.m0_data_i( m0_data_i ),
.m0_data_o( m0_data_o ),
.m0_addr_i( m0_addr_i ),
.m0_sel_i( m0_sel_i ),
.m0_we_i( m0_we_i ),
.m0_cyc_i( m0_cyc_i ),
.m0_stb_i( m0_stb_i ),
.m0_ack_o( m0_ack_o ),
.m0_err_o( m0_err_o ),
.m0_rty_o( m0_rty_o ),
.m1_data_i( m1_data_i ),
.m1_data_o( m1_data_o ),
.m1_addr_i( m1_addr_i ),
.m1_sel_i( m1_sel_i ),
.m1_we_i( m1_we_i ),
.m1_cyc_i( m1_cyc_i ),
.m1_stb_i( m1_stb_i ),
.m1_ack_o( m1_ack_o ),
.m1_err_o( m1_err_o ),
.m1_rty_o( m1_rty_o ),
.m2_data_i( m2_data_i ),
.m2_data_o( m2_data_o ),
.m2_addr_i( m2_addr_i ),
.m2_sel_i( m2_sel_i ),
.m2_we_i( m2_we_i ),
.m2_cyc_i( m2_cyc_i ),
.m2_stb_i( m2_stb_i ),
.m2_ack_o( m2_ack_o ),
.m2_err_o( m2_err_o ),
.m2_rty_o( m2_rty_o ),
.m3_data_i( m3_data_i ),
.m3_data_o( m3_data_o ),
.m3_addr_i( m3_addr_i ),
.m3_sel_i( m3_sel_i ),
.m3_we_i( m3_we_i ),
.m3_cyc_i( m3_cyc_i ),
.m3_stb_i( m3_stb_i ),
.m3_ack_o( m3_ack_o ),
.m3_err_o( m3_err_o ),
.m3_rty_o( m3_rty_o ),
.m4_data_i( m4_data_i ),
.m4_data_o( m4_data_o ),
.m4_addr_i( m4_addr_i ),
.m4_sel_i( m4_sel_i ),
.m4_we_i( m4_we_i ),
.m4_cyc_i( m4_cyc_i ),
.m4_stb_i( m4_stb_i ),
.m4_ack_o( m4_ack_o ),
.m4_err_o( m4_err_o ),
.m4_rty_o( m4_rty_o ),
.m5_data_i( m5_data_i ),
.m5_data_o( m5_data_o ),
.m5_addr_i( m5_addr_i ),
.m5_sel_i( m5_sel_i ),
.m5_we_i( m5_we_i ),
.m5_cyc_i( m5_cyc_i ),
.m5_stb_i( m5_stb_i ),
.m5_ack_o( m5_ack_o ),
.m5_err_o( m5_err_o ),
.m5_rty_o( m5_rty_o ),
.m6_data_i( m6_data_i ),
.m6_data_o( m6_data_o ),
.m6_addr_i( m6_addr_i ),
.m6_sel_i( m6_sel_i ),
.m6_we_i( m6_we_i ),
.m6_cyc_i( m6_cyc_i ),
.m6_stb_i( m6_stb_i ),
.m6_ack_o( m6_ack_o ),
.m6_err_o( m6_err_o ),
.m6_rty_o( m6_rty_o ),
.m7_data_i( m7_data_i ),
.m7_data_o( m7_data_o ),
.m7_addr_i( m7_addr_i ),
.m7_sel_i( m7_sel_i ),
.m7_we_i( m7_we_i ),
.m7_cyc_i( m7_cyc_i ),
.m7_stb_i( m7_stb_i ),
.m7_ack_o( m7_ack_o ),
.m7_err_o( m7_err_o ),
.m7_rty_o( m7_rty_o ),
.s0_data_i( s0_data_i ),
.s0_data_o( s0_data_o ),
.s0_addr_o( s0_addr_o ),
.s0_sel_o( s0_sel_o ),
.s0_we_o( s0_we_o ),
.s0_cyc_o( s0_cyc_o ),
.s0_stb_o( s0_stb_o ),
.s0_ack_i( s0_ack_i ),
.s0_err_i( s0_err_i ),
.s0_rty_i( s0_rty_i ),
.s1_data_i( s1_data_i ),
.s1_data_o( s1_data_o ),
.s1_addr_o( s1_addr_o ),
.s1_sel_o( s1_sel_o ),
.s1_we_o( s1_we_o ),
.s1_cyc_o( s1_cyc_o ),
.s1_stb_o( s1_stb_o ),
.s1_ack_i( s1_ack_i ),
.s1_err_i( s1_err_i ),
.s1_rty_i( s1_rty_i ),
.s2_data_i( s2_data_i ),
.s2_data_o( s2_data_o ),
.s2_addr_o( s2_addr_o ),
.s2_sel_o( s2_sel_o ),
.s2_we_o( s2_we_o ),
.s2_cyc_o( s2_cyc_o ),
.s2_stb_o( s2_stb_o ),
.s2_ack_i( s2_ack_i ),
.s2_err_i( s2_err_i ),
.s2_rty_i( s2_rty_i ),
.s3_data_i( s3_data_i ),
.s3_data_o( s3_data_o ),
.s3_addr_o( s3_addr_o ),
.s3_sel_o( s3_sel_o ),
.s3_we_o( s3_we_o ),
.s3_cyc_o( s3_cyc_o ),
.s3_stb_o( s3_stb_o ),
.s3_ack_i( s3_ack_i ),
.s3_err_i( s3_err_i ),
.s3_rty_i( s3_rty_i ),
.s4_data_i( s4_data_i ),
.s4_data_o( s4_data_o ),
.s4_addr_o( s4_addr_o ),
.s4_sel_o( s4_sel_o ),
.s4_we_o( s4_we_o ),
.s4_cyc_o( s4_cyc_o ),
.s4_stb_o( s4_stb_o ),
.s4_ack_i( s4_ack_i ),
.s4_err_i( s4_err_i ),
.s4_rty_i( s4_rty_i ),
.s5_data_i( s5_data_i ),
.s5_data_o( s5_data_o ),
.s5_addr_o( s5_addr_o ),
.s5_sel_o( s5_sel_o ),
.s5_we_o( s5_we_o ),
.s5_cyc_o( s5_cyc_o ),
.s5_stb_o( s5_stb_o ),
.s5_ack_i( s5_ack_i ),
.s5_err_i( s5_err_i ),
.s5_rty_i( s5_rty_i ),
.s6_data_i( s6_data_i ),
.s6_data_o( s6_data_o ),
.s6_addr_o( s6_addr_o ),
.s6_sel_o( s6_sel_o ),
.s6_we_o( s6_we_o ),
.s6_cyc_o( s6_cyc_o ),
.s6_stb_o( s6_stb_o ),
.s6_ack_i( s6_ack_i ),
.s6_err_i( s6_err_i ),
.s6_rty_i( s6_rty_i ),
.s7_data_i( s7_data_i ),
.s7_data_o( s7_data_o ),
.s7_addr_o( s7_addr_o ),
.s7_sel_o( s7_sel_o ),
.s7_we_o( s7_we_o ),
.s7_cyc_o( s7_cyc_o ),
.s7_stb_o( s7_stb_o ),
.s7_ack_i( s7_ack_i ),
.s7_err_i( s7_err_i ),
.s7_rty_i( s7_rty_i ),
.s8_data_i( s8_data_i ),
.s8_data_o( s8_data_o ),
.s8_addr_o( s8_addr_o ),
.s8_sel_o( s8_sel_o ),
.s8_we_o( s8_we_o ),
.s8_cyc_o( s8_cyc_o ),
.s8_stb_o( s8_stb_o ),
.s8_ack_i( s8_ack_i ),
.s8_err_i( s8_err_i ),
.s8_rty_i( s8_rty_i ),
.s9_data_i( s9_data_i ),
.s9_data_o( s9_data_o ),
.s9_addr_o( s9_addr_o ),
.s9_sel_o( s9_sel_o ),
.s9_we_o( s9_we_o ),
.s9_cyc_o( s9_cyc_o ),
.s9_stb_o( s9_stb_o ),
.s9_ack_i( s9_ack_i ),
.s9_err_i( s9_err_i ),
.s9_rty_i( s9_rty_i ),
.s10_data_i( s10_data_i ),
.s10_data_o( s10_data_o ),
.s10_addr_o( s10_addr_o ),
.s10_sel_o( s10_sel_o ),
.s10_we_o( s10_we_o ),
.s10_cyc_o( s10_cyc_o ),
.s10_stb_o( s10_stb_o ),
.s10_ack_i( s10_ack_i ),
.s10_err_i( s10_err_i ),
.s10_rty_i( s10_rty_i ),
.s11_data_i( s11_data_i ),
.s11_data_o( s11_data_o ),
.s11_addr_o( s11_addr_o ),
.s11_sel_o( s11_sel_o ),
.s11_we_o( s11_we_o ),
.s11_cyc_o( s11_cyc_o ),
.s11_stb_o( s11_stb_o ),
.s11_ack_i( s11_ack_i ),
.s11_err_i( s11_err_i ),
.s11_rty_i( s11_rty_i ),
.s12_data_i( s12_data_i ),
.s12_data_o( s12_data_o ),
.s12_addr_o( s12_addr_o ),
.s12_sel_o( s12_sel_o ),
.s12_we_o( s12_we_o ),
.s12_cyc_o( s12_cyc_o ),
.s12_stb_o( s12_stb_o ),
.s12_ack_i( s12_ack_i ),
.s12_err_i( s12_err_i ),
.s12_rty_i( s12_rty_i ),
.s13_data_i( s13_data_i ),
.s13_data_o( s13_data_o ),
.s13_addr_o( s13_addr_o ),
.s13_sel_o( s13_sel_o ),
.s13_we_o( s13_we_o ),
.s13_cyc_o( s13_cyc_o ),
.s13_stb_o( s13_stb_o ),
.s13_ack_i( s13_ack_i ),
.s13_err_i( s13_err_i ),
.s13_rty_i( s13_rty_i ),
.s14_data_i( s14_data_i ),
.s14_data_o( s14_data_o ),
.s14_addr_o( s14_addr_o ),
.s14_sel_o( s14_sel_o ),
.s14_we_o( s14_we_o ),
.s14_cyc_o( s14_cyc_o ),
.s14_stb_o( s14_stb_o ),
.s14_ack_i( s14_ack_i ),
.s14_err_i( s14_err_i ),
.s14_rty_i( s14_rty_i ),
.s15_data_i( s15_data_i ),
.s15_data_o( s15_data_o ),
.s15_addr_o( s15_addr_o ),
.s15_sel_o( s15_sel_o ),
.s15_we_o( s15_we_o ),
.s15_cyc_o( s15_cyc_o ),
.s15_stb_o( s15_stb_o ),
.s15_ack_i( s15_ack_i ),
.s15_err_i( s15_err_i ),
.s15_rty_i( s15_rty_i )
);
 
 
/////////////////////////////////////////////////////////////////////
//
// WISHBONE Master Models
//
 
wb_mast m0( .clk( clk ),
.rst( ~rst ),
.adr( m0_addr_i ),
.din( m0_data_o ),
.dout( m0_data_i ),
.cyc( m0_cyc_i ),
.stb( m0_stb_i ),
.sel( m0_sel_i ),
.we( m0_we_i ),
.ack( m0_ack_o ),
.err( m0_err_o ),
.rty( m0_rty_o )
);
 
wb_mast m1( .clk( clk ),
.rst( ~rst ),
.adr( m1_addr_i ),
.din( m1_data_o ),
.dout( m1_data_i ),
.cyc( m1_cyc_i ),
.stb( m1_stb_i ),
.sel( m1_sel_i ),
.we( m1_we_i ),
.ack( m1_ack_o ),
.err( m1_err_o ),
.rty( m1_rty_o )
);
 
wb_mast m2( .clk( clk ),
.rst( ~rst ),
.adr( m2_addr_i ),
.din( m2_data_o ),
.dout( m2_data_i ),
.cyc( m2_cyc_i ),
.stb( m2_stb_i ),
.sel( m2_sel_i ),
.we( m2_we_i ),
.ack( m2_ack_o ),
.err( m2_err_o ),
.rty( m2_rty_o )
);
 
wb_mast m3( .clk( clk ),
.rst( ~rst ),
.adr( m3_addr_i ),
.din( m3_data_o ),
.dout( m3_data_i ),
.cyc( m3_cyc_i ),
.stb( m3_stb_i ),
.sel( m3_sel_i ),
.we( m3_we_i ),
.ack( m3_ack_o ),
.err( m3_err_o ),
.rty( m3_rty_o )
);
 
wb_mast m4( .clk( clk ),
.rst( ~rst ),
.adr( m4_addr_i ),
.din( m4_data_o ),
.dout( m4_data_i ),
.cyc( m4_cyc_i ),
.stb( m4_stb_i ),
.sel( m4_sel_i ),
.we( m4_we_i ),
.ack( m4_ack_o ),
.err( m4_err_o ),
.rty( m4_rty_o )
);
 
wb_mast m5( .clk( clk ),
.rst( ~rst ),
.adr( m5_addr_i ),
.din( m5_data_o ),
.dout( m5_data_i ),
.cyc( m5_cyc_i ),
.stb( m5_stb_i ),
.sel( m5_sel_i ),
.we( m5_we_i ),
.ack( m5_ack_o ),
.err( m5_err_o ),
.rty( m5_rty_o )
);
 
wb_mast m6( .clk( clk ),
.rst( ~rst ),
.adr( m6_addr_i ),
.din( m6_data_o ),
.dout( m6_data_i ),
.cyc( m6_cyc_i ),
.stb( m6_stb_i ),
.sel( m6_sel_i ),
.we( m6_we_i ),
.ack( m6_ack_o ),
.err( m6_err_o ),
.rty( m6_rty_o )
);
 
wb_mast m7( .clk( clk ),
.rst( ~rst ),
.adr( m7_addr_i ),
.din( m7_data_o ),
.dout( m7_data_i ),
.cyc( m7_cyc_i ),
.stb( m7_stb_i ),
.sel( m7_sel_i ),
.we( m7_we_i ),
.ack( m7_ack_o ),
.err( m7_err_o ),
.rty( m7_rty_o )
);
 
 
/////////////////////////////////////////////////////////////////////
//
// WISHBONE Slave Models
//
 
wb_slv s0( .clk( clk ),
.rst( ~rst ),
.adr( s0_addr_o ),
.din( s0_data_o ),
.dout( s0_data_i ),
.cyc( s0_cyc_o ),
.stb( s0_stb_o ),
.sel( s0_sel_o ),
.we( s0_we_o ),
.ack( s0_ack_i ),
.err( s0_err_i ),
.rty( s0_rty_i )
);
 
wb_slv s1( .clk( clk ),
.rst( ~rst ),
.adr( s1_addr_o ),
.din( s1_data_o ),
.dout( s1_data_i ),
.cyc( s1_cyc_o ),
.stb( s1_stb_o ),
.sel( s1_sel_o ),
.we( s1_we_o ),
.ack( s1_ack_i ),
.err( s1_err_i ),
.rty( s1_rty_i )
);
 
wb_slv s2( .clk( clk ),
.rst( ~rst ),
.adr( s2_addr_o ),
.din( s2_data_o ),
.dout( s2_data_i ),
.cyc( s2_cyc_o ),
.stb( s2_stb_o ),
.sel( s2_sel_o ),
.we( s2_we_o ),
.ack( s2_ack_i ),
.err( s2_err_i ),
.rty( s2_rty_i )
);
 
wb_slv s3( .clk( clk ),
.rst( ~rst ),
.adr( s3_addr_o ),
.din( s3_data_o ),
.dout( s3_data_i ),
.cyc( s3_cyc_o ),
.stb( s3_stb_o ),
.sel( s3_sel_o ),
.we( s3_we_o ),
.ack( s3_ack_i ),
.err( s3_err_i ),
.rty( s3_rty_i )
);
 
wb_slv s4( .clk( clk ),
.rst( ~rst ),
.adr( s4_addr_o ),
.din( s4_data_o ),
.dout( s4_data_i ),
.cyc( s4_cyc_o ),
.stb( s4_stb_o ),
.sel( s4_sel_o ),
.we( s4_we_o ),
.ack( s4_ack_i ),
.err( s4_err_i ),
.rty( s4_rty_i )
);
 
wb_slv s5( .clk( clk ),
.rst( ~rst ),
.adr( s5_addr_o ),
.din( s5_data_o ),
.dout( s5_data_i ),
.cyc( s5_cyc_o ),
.stb( s5_stb_o ),
.sel( s5_sel_o ),
.we( s5_we_o ),
.ack( s5_ack_i ),
.err( s5_err_i ),
.rty( s5_rty_i )
);
 
wb_slv s6( .clk( clk ),
.rst( ~rst ),
.adr( s6_addr_o ),
.din( s6_data_o ),
.dout( s6_data_i ),
.cyc( s6_cyc_o ),
.stb( s6_stb_o ),
.sel( s6_sel_o ),
.we( s6_we_o ),
.ack( s6_ack_i ),
.err( s6_err_i ),
.rty( s6_rty_i )
);
 
wb_slv s7( .clk( clk ),
.rst( ~rst ),
.adr( s7_addr_o ),
.din( s7_data_o ),
.dout( s7_data_i ),
.cyc( s7_cyc_o ),
.stb( s7_stb_o ),
.sel( s7_sel_o ),
.we( s7_we_o ),
.ack( s7_ack_i ),
.err( s7_err_i ),
.rty( s7_rty_i )
);
 
wb_slv s8( .clk( clk ),
.rst( ~rst ),
.adr( s8_addr_o ),
.din( s8_data_o ),
.dout( s8_data_i ),
.cyc( s8_cyc_o ),
.stb( s8_stb_o ),
.sel( s8_sel_o ),
.we( s8_we_o ),
.ack( s8_ack_i ),
.err( s8_err_i ),
.rty( s8_rty_i )
);
 
wb_slv s9( .clk( clk ),
.rst( ~rst ),
.adr( s9_addr_o ),
.din( s9_data_o ),
.dout( s9_data_i ),
.cyc( s9_cyc_o ),
.stb( s9_stb_o ),
.sel( s9_sel_o ),
.we( s9_we_o ),
.ack( s9_ack_i ),
.err( s9_err_i ),
.rty( s9_rty_i )
);
 
wb_slv s10( .clk( clk ),
.rst( ~rst ),
.adr( s10_addr_o ),
.din( s10_data_o ),
.dout( s10_data_i ),
.cyc( s10_cyc_o ),
.stb( s10_stb_o ),
.sel( s10_sel_o ),
.we( s10_we_o ),
.ack( s10_ack_i ),
.err( s10_err_i ),
.rty( s10_rty_i )
);
 
wb_slv s11( .clk( clk ),
.rst( ~rst ),
.adr( s11_addr_o ),
.din( s11_data_o ),
.dout( s11_data_i ),
.cyc( s11_cyc_o ),
.stb( s11_stb_o ),
.sel( s11_sel_o ),
.we( s11_we_o ),
.ack( s11_ack_i ),
.err( s11_err_i ),
.rty( s11_rty_i )
);
 
wb_slv s12( .clk( clk ),
.rst( ~rst ),
.adr( s12_addr_o ),
.din( s12_data_o ),
.dout( s12_data_i ),
.cyc( s12_cyc_o ),
.stb( s12_stb_o ),
.sel( s12_sel_o ),
.we( s12_we_o ),
.ack( s12_ack_i ),
.err( s12_err_i ),
.rty( s12_rty_i )
);
 
wb_slv s13( .clk( clk ),
.rst( ~rst ),
.adr( s13_addr_o ),
.din( s13_data_o ),
.dout( s13_data_i ),
.cyc( s13_cyc_o ),
.stb( s13_stb_o ),
.sel( s13_sel_o ),
.we( s13_we_o ),
.ack( s13_ack_i ),
.err( s13_err_i ),
.rty( s13_rty_i )
);
 
wb_slv s14( .clk( clk ),
.rst( ~rst ),
.adr( s14_addr_o ),
.din( s14_data_o ),
.dout( s14_data_i ),
.cyc( s14_cyc_o ),
.stb( s14_stb_o ),
.sel( s14_sel_o ),
.we( s14_we_o ),
.ack( s14_ack_i ),
.err( s14_err_i ),
.rty( s14_rty_i )
);
 
wb_slv s15( .clk( clk ),
.rst( ~rst ),
.adr( s15_addr_o ),
.din( s15_data_o ),
.dout( s15_data_i ),
.cyc( s15_cyc_o ),
.stb( s15_stb_o ),
.sel( s15_sel_o ),
.we( s15_we_o ),
.ack( s15_ack_i ),
.err( s15_err_i ),
.rty( s15_rty_i )
);
 
`include "tests.v"
 
endmodule
 
/tags/start/bench/verilog/tests.v
0,0 → 1,847
/////////////////////////////////////////////////////////////////////
//// ////
//// WISHBONE Connection Matrix Test Cases ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
//// Downloaded from: http://www.opencores.org/cores/wb_dma/ ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000 Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer.////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// CVS Log
//
// $Id: tests.v,v 1.1.1.1 2001-10-19 11:04:27 rudi Exp $
//
// $Date: 2001-10-19 11:04:27 $
// $Revision: 1.1.1.1 $
// $Author: rudi $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//
//
//
 
 
task show_errors;
 
begin
 
$display("\n");
$display(" +--------------------+");
$display(" | Total ERRORS: %0d |", error_cnt);
$display(" +--------------------+");
 
end
endtask
 
 
task init_all_mem;
 
begin
s0.fill_mem(1);
s1.fill_mem(1);
s2.fill_mem(1);
s3.fill_mem(1);
s4.fill_mem(1);
s5.fill_mem(1);
s6.fill_mem(1);
s7.fill_mem(1);
s8.fill_mem(1);
s9.fill_mem(1);
s10.fill_mem(1);
s11.fill_mem(1);
s12.fill_mem(1);
s13.fill_mem(1);
s14.fill_mem(1);
s15.fill_mem(1);
 
m0.mem_fill;
m1.mem_fill;
m2.mem_fill;
m3.mem_fill;
m4.mem_fill;
m5.mem_fill;
m6.mem_fill;
m7.mem_fill;
 
end
endtask
 
 
task verify;
input master;
input slave;
input count;
 
integer master, slave, count;
begin
verify_sub(master,slave,count,0,0);
end
endtask
 
 
task verify_sub;
input master;
input slave;
input count;
input mo;
input so;
 
integer master, slave, count;
integer mo, so;
integer o;
integer n;
reg [31:0] mdata, sdata;
 
begin
 
//$display("V2: %0d %0d %0d %0d %0d",master, slave, count, mo,so);
 
for(n=0;n<count;n=n+1)
begin
case(master)
0: mdata = m0.mem[n+mo];
1: mdata = m1.mem[n+mo];
2: mdata = m2.mem[n+mo];
3: mdata = m3.mem[n+mo];
4: mdata = m4.mem[n+mo];
5: mdata = m5.mem[n+mo];
6: mdata = m6.mem[n+mo];
7: mdata = m7.mem[n+mo];
default:
begin
$display("ERROR: Illegal Master %0d", master);
$finish;
end
endcase
 
o = 0;
case(master)
0: o = 16'h000;
1: o = 16'h040;
2: o = 16'h080;
3: o = 16'h0c0;
4: o = 16'h100;
5: o = 16'h140;
6: o = 16'h180;
7: o = 16'h1c0;
endcase
 
case(slave)
0: sdata = s0.mem[n+o+so];
1: sdata = s1.mem[n+o+so];
2: sdata = s2.mem[n+o+so];
3: sdata = s3.mem[n+o+so];
4: sdata = s4.mem[n+o+so];
5: sdata = s5.mem[n+o+so];
6: sdata = s6.mem[n+o+so];
7: sdata = s7.mem[n+o+so];
8: sdata = s8.mem[n+o+so];
9: sdata = s9.mem[n+o+so];
10: sdata = s10.mem[n+o+so];
11: sdata = s11.mem[n+o+so];
12: sdata = s12.mem[n+o+so];
13: sdata = s13.mem[n+o+so];
14: sdata = s14.mem[n+o+so];
15: sdata = s15.mem[n+o+so];
default:
begin
$display("ERROR: Illegal Slave %0d", slave);
$finish;
end
endcase
 
//$display("INFO: Master[%0d]: %h - Slave[%0d]: %h (%0t)",
// master, mdata, slave, sdata, $time);
 
if(mdata !== sdata)
begin
$display("ERROR: Master[%0d][%0d]: %h - Slave[%0d]: %h (%0t)",
master, n, mdata, slave, sdata, $time);
error_cnt = error_cnt + 1;
end
end
end
 
endtask
 
 
task test_arb1;
 
integer n, del;
reg [31:0] data;
 
begin
 
$display("\n\n");
$display("*****************************************************");
$display("*** Arb. 1 Test ... ***");
$display("*****************************************************\n");
 
del = 4;
for(del = 0;del < 5; del=del+1 )
begin
$display("Delay: %0d", del);
init_all_mem;
m1.wb_wr1( 32'hff00_0000, 4'hf, 32'h0000_a5ff);
 
fork
begin
m0.wb_rd_mult( 32'h0000_0000 + (0 << 28), 4'hf, del, 4);
m0.wb_rd1( 32'hff00_0000, 4'hf, data);
if(data !== 32'h0000_a5ff)
begin
$display("ERROR: RF read mismatch: Exp. 0, Got %h", data);
error_cnt = error_cnt + 1;
end
m0.wb_wr_mult( 32'h0000_0010 + (0 << 28), 4'hf, del, 4);
m0.wb_rd_mult( 32'h0000_0020 + (0 << 28), 4'hf, del, 4);
m0.wb_wr_mult( 32'h0000_0030 + (0 << 28), 4'hf, del, 4);
end
 
begin
m1.wb_wr_mult( 32'h0000_0100 + (0 << 28), 4'hf, del, 4);
m1.wb_rd_mult( 32'h0000_0110 + (0 << 28), 4'hf, del, 4);
m1.wb_rd1( 32'hff00_0000, 4'hf, data);
if(data !== 32'h0000_a5ff)
begin
$display("ERROR: RF read mismatch: Exp. 0, Got %h", data);
error_cnt = error_cnt + 1;
end
m1.wb_wr_mult( 32'h0000_0120 + (0 << 28), 4'hf, del, 4);
m1.wb_rd_mult( 32'h0000_0130 + (0 << 28), 4'hf, del, 4);
end
 
begin
m2.wb_rd_mult( 32'h0000_0200 + (0 << 28), 4'hf, del, 4);
m2.wb_wr_mult( 32'h0000_0210 + (0 << 28), 4'hf, del, 4);
m2.wb_rd_mult( 32'h0000_0220 + (0 << 28), 4'hf, del, 4);
m2.wb_rd1( 32'hff00_0000, 4'hf, data);
if(data !== 32'h0000_a5ff)
begin
$display("ERROR: RF read mismatch: Exp. 0, Got %h", data);
error_cnt = error_cnt + 1;
end
m2.wb_wr_mult( 32'h0000_0230 + (0 << 28), 4'hf, del, 4);
end
 
begin
m3.wb_wr_mult( 32'h0000_0300 + (0 << 28), 4'hf, del, 4);
m3.wb_rd_mult( 32'h0000_0310 + (0 << 28), 4'hf, del, 4);
m3.wb_wr_mult( 32'h0000_0320 + (0 << 28), 4'hf, del, 4);
m3.wb_rd_mult( 32'h0000_0330 + (0 << 28), 4'hf, del, 4);
m3.wb_rd1( 32'hff00_0000, 4'hf, data);
if(data !== 32'h0000_a5ff)
begin
$display("ERROR: RF read mismatch: Exp. a5ff, Got %h", data);
error_cnt = error_cnt + 1;
end
end
 
begin
m4.wb_rd_mult( 32'h0000_0400 + (1 << 28), 4'hf, del, 4);
m4.wb_wr_mult( 32'h0000_0410 + (1 << 28), 4'hf, del, 4);
m4.wb_rd_mult( 32'h0000_0420 + (1 << 28), 4'hf, del, 4);
m4.wb_wr_mult( 32'h0000_0430 + (1 << 28), 4'hf, del, 4);
end
 
begin
m5.wb_rd_mult( 32'h0000_0500 + (1 << 28), 4'hf, del, 4);
m5.wb_wr_mult( 32'h0000_0510 + (1 << 28), 4'hf, del, 4);
m5.wb_rd_mult( 32'h0000_0520 + (1 << 28), 4'hf, del, 4);
m5.wb_wr_mult( 32'h0000_0530 + (1 << 28), 4'hf, del, 4);
end
 
begin
m6.wb_wr_mult( 32'h0000_0600 + (15 << 28), 4'hf, del, 4);
m6.wb_rd_mult( 32'h0000_0610 + (15 << 28), 4'hf, del, 4);
m6.wb_wr_mult( 32'h0000_0620 + (15 << 28), 4'hf, del, 4);
m6.wb_rd_mult( 32'h0000_0630 + (15 << 28), 4'hf, del, 4);
end
 
begin
m7.wb_wr_mult( 32'h0000_0700 + (15 << 28), 4'hf, del, 4);
m7.wb_rd_mult( 32'h0000_0710 + (15 << 28), 4'hf, del, 4);
m7.wb_wr_mult( 32'h0000_0720 + (15 << 28), 4'hf, del, 4);
m7.wb_rd_mult( 32'h0000_0730 + (15 << 28), 4'hf, del, 4);
end
join
 
verify(0,0,16);
verify(1,0,16);
verify(2,0,16);
verify(3,0,16);
verify(4,1,16);
verify(5,1,16);
verify(6,15,16);
verify(7,15,16);
end
show_errors;
$display("*****************************************************");
$display("*** Test DONE ... ***");
$display("*****************************************************\n\n");
 
end
endtask
 
 
task test_arb2;
 
integer m, del, siz;
integer n, a, b;
time t[0:7];
reg [1:0] p[0:7];
 
begin
 
$display("\n\n");
$display("*****************************************************");
$display("*** Arb. 2 Test ... ***");
$display("*****************************************************\n");
 
 
siz = 4;
del = 0;
m=0;
for(m=0;m<32;m=m+1)
for(del=0;del<7;del=del+1)
for(siz=1;siz<5;siz=siz+1)
begin
 
init_all_mem;
$display("Mode: %0d del: %0d, siz: %0d", m, del, siz);
 
case(m)
0:
begin
p[7] = 2'd3; // M 7
p[6] = 2'd1; // M 6
p[5] = 2'd2; // M 5
p[4] = 2'd3; // M 4
p[3] = 2'd0; // M 3
p[2] = 2'd1; // M 2
p[1] = 2'd0; // M 1
p[0] = 2'd2; // M 0
end
 
4:
begin
p[7] = 2'd0; // M 7
p[6] = 2'd1; // M 6
p[5] = 2'd2; // M 5
p[4] = 2'd3; // M 4
p[3] = 2'd3; // M 3
p[2] = 2'd2; // M 2
p[1] = 2'd1; // M 1
p[0] = 2'd0; // M 0
end
 
8:
begin
p[7] = 2'd3; // M 7
p[6] = 2'd2; // M 6
p[5] = 2'd1; // M 5
p[4] = 2'd0; // M 4
p[3] = 2'd0; // M 3
p[2] = 2'd1; // M 2
p[1] = 2'd2; // M 1
p[0] = 2'd3; // M 0
end
 
12:
begin
p[7] = 2'd3; // M 7
p[6] = 2'd3; // M 6
p[5] = 2'd3; // M 5
p[4] = 2'd0; // M 4
p[3] = 2'd0; // M 3
p[2] = 2'd0; // M 2
p[1] = 2'd1; // M 1
p[0] = 2'd1; // M 0
end
 
16:
begin
p[7] = 2'd0; // M 7
p[6] = 2'd0; // M 6
p[5] = 2'd0; // M 5
p[4] = 2'd0; // M 4
p[3] = 2'd1; // M 3
p[2] = 2'd1; // M 2
p[1] = 2'd3; // M 1
p[0] = 2'd3; // M 0
end
 
20:
begin
p[7] = 2'd3; // M 7
p[6] = 2'd0; // M 6
p[5] = 2'd2; // M 5
p[4] = 2'd0; // M 4
p[3] = 2'd1; // M 3
p[2] = 2'd0; // M 2
p[1] = 2'd0; // M 1
p[0] = 2'd0; // M 0
end
 
24:
begin
p[7] = 2'd0; // M 7
p[6] = 2'd0; // M 6
p[5] = 2'd1; // M 5
p[4] = 2'd0; // M 4
p[3] = 2'd0; // M 3
p[2] = 2'd2; // M 2
p[1] = 2'd0; // M 1
p[0] = 2'd3; // M 0
end
 
28:
begin
p[7] = 2'd0; // M 7
p[6] = 2'd0; // M 6
p[5] = 2'd1; // M 5
p[4] = 2'd0; // M 4
p[3] = 2'd0; // M 3
p[2] = 2'd0; // M 2
p[1] = 2'd0; // M 1
p[0] = 2'd3; // M 0
end
 
default:
begin
p[7] = p[7] + 1;// M 7
p[6] = p[6] + 1;// M 6
p[5] = p[5] + 1;// M 5
p[4] = p[4] + 1;// M 4
p[3] = p[3] + 1;// M 3
p[2] = p[2] + 1;// M 2
p[1] = p[1] + 1;// M 1
p[0] = p[0] + 1;// M 0
end
endcase
 
m1.wb_wr1( 32'hff00_0000, 4'hf, {16'h0000, p[7], p[6], p[5],
p[4], p[3], p[2], p[1], p[0]} );
 
@(posedge clk);
fork
begin
repeat(del) @(posedge clk);
m0.wb_wr_mult( 32'h0000_0000 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m0.wb_rd_mult( 32'h0000_0000 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m0.wb_wr_mult( 32'h0000_0000 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m0.wb_rd_mult( 32'h0000_0000 + (siz * 12), 4'hf, del, siz);
t[0] = $time;
end
 
begin
repeat(del) @(posedge clk);
m1.wb_rd_mult( 32'h0000_0100 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m1.wb_wr_mult( 32'h0000_0100 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m1.wb_rd_mult( 32'h0000_0100 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m1.wb_wr_mult( 32'h0000_0100 + (siz * 12), 4'hf, del, siz);
t[1] = $time;
end
 
begin
repeat(del) @(posedge clk);
m2.wb_wr_mult( 32'h0000_0200 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m2.wb_rd_mult( 32'h0000_0200 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m2.wb_wr_mult( 32'h0000_0200 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m2.wb_rd_mult( 32'h0000_0200 + (siz * 12), 4'hf, del, siz);
t[2] = $time;
end
 
begin
repeat(del) @(posedge clk);
m3.wb_rd_mult( 32'h0000_0300 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m3.wb_wr_mult( 32'h0000_0300 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m3.wb_rd_mult( 32'h0000_0300 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m3.wb_wr_mult( 32'h0000_0300 + (siz * 12), 4'hf, del, siz);
t[3] = $time;
end
 
begin
repeat(del) @(posedge clk);
m4.wb_wr_mult( 32'h0000_0400 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m4.wb_rd_mult( 32'h0000_0400 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m4.wb_wr_mult( 32'h0000_0400 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m4.wb_rd_mult( 32'h0000_0400 + (siz * 12), 4'hf, del, siz);
t[4] = $time;
end
 
begin
repeat(del) @(posedge clk);
m5.wb_rd_mult( 32'h0000_0500 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m5.wb_wr_mult( 32'h0000_0500 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m5.wb_rd_mult( 32'h0000_0500 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m5.wb_wr_mult( 32'h0000_0500 + (siz * 12), 4'hf, del, siz);
t[5] = $time;
end
 
begin
repeat(del) @(posedge clk);
m6.wb_wr_mult( 32'h0000_0600 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m6.wb_rd_mult( 32'h0000_0600 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m6.wb_wr_mult( 32'h0000_0600 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m6.wb_rd_mult( 32'h0000_0600 + (siz * 12), 4'hf, del, siz);
t[6] = $time;
end
 
begin
repeat(del) @(posedge clk);
m7.wb_wr_mult( 32'h0000_0700 , 4'hf, del, siz);
repeat(del) @(posedge clk);
m7.wb_rd_mult( 32'h0000_0700 + (siz * 4), 4'hf, del, siz);
repeat(del) @(posedge clk);
m7.wb_wr_mult( 32'h0000_0700 + (siz * 8), 4'hf, del, siz);
repeat(del) @(posedge clk);
m7.wb_rd_mult( 32'h0000_0700 + (siz * 12), 4'hf, del, siz);
t[7] = $time;
end
 
join
 
verify(0,0,siz*4);
verify(1,0,siz*4);
verify(2,0,siz*4);
verify(3,0,siz*4);
verify(4,0,siz*4);
verify(5,0,siz*4);
verify(6,0,siz*4);
verify(7,0,siz*4);
 
for(a=0;a<8;a=a+1)
for(b=0;b<8;b=b+1)
if((t[a] < t[b]) & (p[a] <= p[b]) & (p[a] != p[b]) )
begin
$display("ERROR: Master %0d compleated before Master %0d", a, b);
$display(" M[%0d] pri: %0d (t: %0t)", a, p[a], t[a]);
$display(" M[%0d] pri: %0d (t: %0t)", b, p[b], t[b]);
error_cnt = error_cnt + 1;
end
end
 
show_errors;
$display("*****************************************************");
$display("*** Test DONE ... ***");
$display("*****************************************************\n\n");
 
end
endtask
 
 
 
task test_dp1;
 
integer n;
reg [3:0] s0, s1, s2, s3, s4, s5, s6, s7;
 
begin
 
$display("\n\n");
$display("*****************************************************");
$display("*** Datapath 1 Test ... ***");
$display("*****************************************************\n");
 
s0 = 0;
s1 = 1;
s2 = 2;
s3 = 3;
s4 = 4;
s5 = 5;
s6 = 6;
s7 = 7;
 
for(n=0;n<16;n=n+1)
begin
init_all_mem;
$display("Mode: %0d", n);
 
fork
 
begin
m0.wb_wr_mult( 32'h0000_0000 + (s0 << 28), 4'hf, 0, 4);
m0.wb_rd_mult( 32'h0000_0010 + (s0 << 28), 4'hf, 0, 4);
m0.wb_wr_mult( 32'h0000_0020 + (s0 << 28), 4'hf, 0, 4);
m0.wb_rd_mult( 32'h0000_0030 + (s0 << 28), 4'hf, 0, 4);
end
 
begin
m1.wb_wr_mult( 32'h0000_0100 + (s1 << 28), 4'hf, 0, 4);
m1.wb_rd_mult( 32'h0000_0110 + (s1 << 28), 4'hf, 0, 4);
m1.wb_wr_mult( 32'h0000_0120 + (s1 << 28), 4'hf, 0, 4);
m1.wb_rd_mult( 32'h0000_0130 + (s1 << 28), 4'hf, 0, 4);
end
 
begin
m2.wb_wr_mult( 32'h0000_0200 + (s2 << 28), 4'hf, 0, 4);
m2.wb_rd_mult( 32'h0000_0210 + (s2 << 28), 4'hf, 0, 4);
m2.wb_wr_mult( 32'h0000_0220 + (s2 << 28), 4'hf, 0, 4);
m2.wb_rd_mult( 32'h0000_0230 + (s2 << 28), 4'hf, 0, 4);
end
 
begin
m3.wb_wr_mult( 32'h0000_0300 + (s3 << 28), 4'hf, 0, 4);
m3.wb_rd_mult( 32'h0000_0310 + (s3 << 28), 4'hf, 0, 4);
m3.wb_wr_mult( 32'h0000_0320 + (s3 << 28), 4'hf, 0, 4);
m3.wb_rd_mult( 32'h0000_0330 + (s3 << 28), 4'hf, 0, 4);
end
 
begin
m4.wb_wr_mult( 32'h0000_0400 + (s4 << 28), 4'hf, 0, 4);
m4.wb_rd_mult( 32'h0000_0410 + (s4 << 28), 4'hf, 0, 4);
m4.wb_wr_mult( 32'h0000_0420 + (s4 << 28), 4'hf, 0, 4);
m4.wb_rd_mult( 32'h0000_0430 + (s4 << 28), 4'hf, 0, 4);
end
 
begin
m5.wb_wr_mult( 32'h0000_0500 + (s5 << 28), 4'hf, 0, 4);
m5.wb_rd_mult( 32'h0000_0510 + (s5 << 28), 4'hf, 0, 4);
m5.wb_wr_mult( 32'h0000_0520 + (s5 << 28), 4'hf, 0, 4);
m5.wb_rd_mult( 32'h0000_0530 + (s5 << 28), 4'hf, 0, 4);
end
 
begin
m6.wb_wr_mult( 32'h0000_0600 + (s6 << 28), 4'hf, 0, 4);
m6.wb_rd_mult( 32'h0000_0610 + (s6 << 28), 4'hf, 0, 4);
m6.wb_wr_mult( 32'h0000_0620 + (s6 << 28), 4'hf, 0, 4);
m6.wb_rd_mult( 32'h0000_0630 + (s6 << 28), 4'hf, 0, 4);
end
 
begin
m7.wb_wr_mult( 32'h0000_0700 + (s7 << 28), 4'hf, 0, 4);
m7.wb_rd_mult( 32'h0000_0710 + (s7 << 28), 4'hf, 0, 4);
m7.wb_wr_mult( 32'h0000_0720 + (s7 << 28), 4'hf, 0, 4);
m7.wb_rd_mult( 32'h0000_0730 + (s7 << 28), 4'hf, 0, 4);
end
 
join
 
verify(0,s0,16);
verify(1,s1,16);
verify(2,s2,16);
verify(3,s3,16);
verify(4,s4,16);
verify(5,s5,16);
verify(6,s6,16);
verify(7,s7,16);
 
@(posedge clk);
 
s0 = s0 + 1;
s1 = s1 + 1;
s2 = s2 + 1;
s3 = s3 + 1;
s4 = s4 + 1;
s5 = s5 + 1;
s6 = s6 + 1;
s7 = s7 + 1;
 
@(posedge clk);
 
end
 
show_errors;
$display("*****************************************************");
$display("*** Test DONE ... ***");
$display("*****************************************************\n\n");
 
end
endtask
 
task test_dp2;
 
integer del;
integer x0, x1, x2, x3, x4, x5, x6, x7;
reg [3:0] m;
 
begin
 
$display("\n\n");
$display("*****************************************************");
$display("*** Datapath 2 Test ... ***");
$display("*****************************************************\n");
 
del=0;
for(del=0;del<5;del=del+1)
begin
init_all_mem;
$display("Delay: %0d", del);
 
fork
 
begin
for(x0=0;x0<16;x0=x0+1)
m0.wb_rd_mult( 32'h0000_0000 + ((0+x0) << 28) + (x0<<4), 4'hf, del, 4);
end
 
begin
for(x1=0;x1<16;x1=x1+1)
m1.wb_rd_mult( 32'h0000_0100 + ((1+x1) << 28) + (x1<<4), 4'hf, del, 4);
end
 
begin
for(x2=0;x2<16;x2=x2+1)
m2.wb_rd_mult( 32'h0000_0200 + ((2+x2) << 28) + (x2<<4), 4'hf, del, 4);
 
end
 
begin
for(x3=0;x3<16;x3=x3+1)
m3.wb_rd_mult( 32'h0000_0300 + ((3+x3) << 28) + (x3<<4), 4'hf, del, 4);
end
 
begin
for(x4=0;x4<16;x4=x4+1)
m4.wb_rd_mult( 32'h0000_0400 + ((4+x4) << 28) + (x4<<4), 4'hf, del, 4);
end
 
begin
for(x5=0;x5<16;x5=x5+1)
m5.wb_rd_mult( 32'h0000_0500 + ((5+x5) << 28) + (x5<<4), 4'hf, del, 4);
end
 
begin
for(x6=0;x6<16;x6=x6+1)
m6.wb_rd_mult( 32'h0000_0600 + ((6+x6) << 28) + (x6<<4), 4'hf, del, 4);
end
 
begin
for(x7=0;x7<16;x7=x7+1)
m7.wb_rd_mult( 32'h0000_0700 + ((7+x7) << 28) + (x7<<4), 4'hf, del, 4);
end
join
 
for(x1=0;x1<8;x1=x1+1)
for(x0=0;x0<16;x0=x0+1)
begin
m = x0+x1;
verify_sub(x1,m,4,(x0*4),(x0*4));
end
 
end
 
show_errors;
$display("*****************************************************");
$display("*** Test DONE ... ***");
$display("*****************************************************\n\n");
 
end
endtask
 
 
task test_rf;
 
integer n, m;
reg [31:0] wdata[0:15];
reg [31:0] rdata[0:15];
reg [15:0] rtmp, wtmp;
 
begin
 
$display("\n\n");
$display("*****************************************************");
$display("*** Register File Test ... ***");
$display("*****************************************************\n");
 
for(m=0;m<5;m=m+1)
begin
$display("Mode: %0d", m);
 
for(n=0;n<16;n=n+1)
wdata[n] = $random;
 
for(n=0;n<16;n=n+1)
case(m)
0: m0.wb_wr1(32'hff00_0000 + (n << 2), 4'hf, wdata[n]);
1: m3.wb_wr1(32'hff00_0000 + (n << 2), 4'hf, wdata[n]);
2: m5.wb_wr1(32'hff00_0000 + (n << 2), 4'hf, wdata[n]);
3: m7.wb_wr1(32'hff00_0000 + (n << 2), 4'hf, wdata[n]);
4: m7.wb_wr1(32'hff00_0000 + (n << 2), 4'hf, wdata[n]);
endcase
 
for(n=0;n<16;n=n+1)
case(m)
0: m7.wb_rd1(32'hff00_0000 + (n << 2), 4'hf, rdata[n]);
1: m3.wb_rd1(32'hff00_0000 + (n << 2), 4'hf, rdata[n]);
2: m6.wb_rd1(32'hff00_0000 + (n << 2), 4'hf, rdata[n]);
3: m0.wb_rd1(32'hff00_0000 + (n << 2), 4'hf, rdata[n]);
4: m7.wb_rd1(32'hff00_0000 + (n << 2), 4'hf, rdata[n]);
endcase
 
for(n=0;n<16;n=n+1)
begin
rtmp = rdata[n];
wtmp = wdata[n];
if(rtmp !== wtmp)
begin
$display("ERROR: RF[%0d] Mismatch. Expected: %h, Got: %h (%0t)",
n, wtmp, rtmp, $time);
end
end
end
 
show_errors;
$display("*****************************************************");
$display("*** Test DONE ... ***");
$display("*****************************************************\n\n");
 
 
end
endtask
 
/tags/start/bench/verilog/wb_model_defines.v
0,0 → 1,54
/////////////////////////////////////////////////////////////////////
//// ////
//// WISHBONE Model Definitions ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000 Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer.////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// CVS Log
//
// $Id: wb_model_defines.v,v 1.1.1.1 2001-10-19 11:04:23 rudi Exp $
//
// $Date: 2001-10-19 11:04:23 $
// $Revision: 1.1.1.1 $
// $Author: rudi $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//
//
//
 
`timescale 1ns / 10ps
/tags/start/mast1.pl
0,0 → 1,53
#!/bin/perl
 
 
for($n=16;$n<16;$n++) {
 
printf(" // Slave %0d Interface\n", $n );
printf(" s%0d_data_i, s%0d_data_o, s%0d_addr_o, s%0d_sel_o, s%0d_we_o, s%0d_cyc_o,\n", $n, $n, $n, $n, $n, $n );
printf(" s%0d_stb_o, s%0d_ack_i, s%0d_err_i, s%0d_rty_i,\n\n", $n, $n, $n, $n );
 
}
 
for($n=0;$n<8;$n++) {
 
printf("// Master %0d Interface\n", $n);
printf("input [dw-1:0] m%0d_data_i;\n", $n);
printf("output [dw-1:0] m%0d_data_o;\n", $n);
printf("input [aw-1:0] m%0d_addr_i;\n", $n);
printf("input [sw-1:0] m%0d_sel_i;\n", $n);
printf("input m%0d_we_i;\n", $n);
printf("input m%0d_cyc_i;\n", $n);
printf("input m%0d_stb_i;\n", $n);
printf("output m%0d_ack_o;\n", $n);
printf("output m%0d_err_o;\n", $n);
printf("output m%0d_rty_o;\n\n", $n);
 
}
 
for($n=0;$n<16;$n++) {
 
printf("// Slave %0d Interface\n", $n);
printf("input [dw-1:0] s%0d_data_i;\n", $n);
printf("output [dw-1:0] s%0d_data_o;\n", $n);
printf("output [aw-1:0] s%0d_addr_o;\n", $n);
printf("output [sw-1:0] s%0d_sel_o;\n", $n);
printf("output s%0d_we_o;\n", $n);
printf("output s%0d_cyc_o;\n", $n);
printf("output s%0d_stb_o;\n", $n);
printf("input s%0d_ack_i;\n", $n);
printf("input s%0d_err_i;\n", $n);
printf("input s%0d_rty_i;\n\n", $n);
 
 
}
 
 
for($n=8;$n<8;$n++) {
 
printf(" // Master %0d Interface\n", $n );
printf(" m%0d_data_i, m%0d_data_o, m%0d_addr_i, m%0d_sel_i, m%0d_we_i, m%0d_cyc_i,\n", $n, $n, $n, $n, $n, $n );
printf(" m%0d_stb_i, m%0d_ack_o, m%0d_err_o, m%0d_rty_o,\n\n", $n, $n, $n, $n );
 
}
 
tags/start/mast1.pl Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: tags/start/slv1.pl =================================================================== --- tags/start/slv1.pl (nonexistent) +++ tags/start/slv1.pl (revision 3) @@ -0,0 +1,180 @@ + +for($n=0;$n<8;$n++) { + +printf("wb_ic_master_if m%0d(\n",$n); +printf(" .clk_i( clk_i ),\n",$n); +printf(" .rst_i( rst_i ),\n",$n); +printf(" .wb_data_i( m%0d_data_i ),\n",$n); +printf(" .wb_data_o( m%0d_data_o ),\n",$n); +printf(" .wb_addr_i( m%0d_addr_i ),\n",$n); +printf(" .wb_sel_i( m%0d_sel_i ),\n",$n); +printf(" .wb_we_i( m%0d_we_i ),\n",$n); +printf(" .wb_cyc_i( m%0d_cyc_i ),\n",$n); +printf(" .wb_stb_i( m%0d_stb_i ),\n",$n); +printf(" .wb_ack_o( m%0d_ack_o ),\n",$n); +printf(" .wb_err_o( m%0d_err_o ),\n",$n); +printf(" .wb_rty_o( m%0d_rty_o ),\n",$n); +printf(" .s0_data_i( m%0ds0_data_i ),\n",$n); +printf(" .s0_data_o( m%0ds0_data_o ),\n",$n); +printf(" .s0_addr_o( m%0ds0_addr ),\n",$n); +printf(" .s0_sel_o( m%0ds0_sel ),\n",$n); +printf(" .s0_we_o( m%0ds0_we ),\n",$n); +printf(" .s0_cyc_o( m%0ds0_cyc ),\n",$n); +printf(" .s0_stb_o( m%0ds0_stb ),\n",$n); +printf(" .s0_ack_i( m%0ds0_ack ),\n",$n); +printf(" .s0_err_i( m%0ds0_err ),\n",$n); +printf(" .s0_rty_i( m%0ds0_rty ),\n",$n); +printf(" .s1_data_i( m%0ds1_data_i ),\n",$n); +printf(" .s1_data_o( m%0ds1_data_o ),\n",$n); +printf(" .s1_addr_o( m%0ds1_addr ),\n",$n); +printf(" .s1_sel_o( m%0ds1_sel ),\n",$n); +printf(" .s1_we_o( m%0ds1_we ),\n",$n); +printf(" .s1_cyc_o( m%0ds1_cyc ),\n",$n); +printf(" .s1_stb_o( m%0ds1_stb ),\n",$n); +printf(" .s1_ack_i( m%0ds1_ack ),\n",$n); +printf(" .s1_err_i( m%0ds1_err ),\n",$n); +printf(" .s1_rty_i( m%0ds1_rty ),\n",$n); +printf(" .s2_data_i( m%0ds2_data_i ),\n",$n); +printf(" .s2_data_o( m%0ds2_data_o ),\n",$n); +printf(" .s2_addr_o( m%0ds2_addr ),\n",$n); +printf(" .s2_sel_o( m%0ds2_sel ),\n",$n); +printf(" .s2_we_o( m%0ds2_we ),\n",$n); +printf(" .s2_cyc_o( m%0ds2_cyc ),\n",$n); +printf(" .s2_stb_o( m%0ds2_stb ),\n",$n); +printf(" .s2_ack_i( m%0ds2_ack ),\n",$n); +printf(" .s2_err_i( m%0ds2_err ),\n",$n); +printf(" .s2_rty_i( m%0ds2_rty ),\n",$n); +printf(" .s3_data_i( m%0ds3_data_i ),\n",$n); +printf(" .s3_data_o( m%0ds3_data_o ),\n",$n); +printf(" .s3_addr_o( m%0ds3_addr ),\n",$n); +printf(" .s3_sel_o( m%0ds3_sel ),\n",$n); +printf(" .s3_we_o( m%0ds3_we ),\n",$n); +printf(" .s3_cyc_o( m%0ds3_cyc ),\n",$n); +printf(" .s3_stb_o( m%0ds3_stb ),\n",$n); +printf(" .s3_ack_i( m%0ds3_ack ),\n",$n); +printf(" .s3_err_i( m%0ds3_err ),\n",$n); +printf(" .s3_rty_i( m%0ds3_rty ),\n",$n); +printf(" .s4_data_i( m%0ds4_data_i ),\n",$n); +printf(" .s4_data_o( m%0ds4_data_o ),\n",$n); +printf(" .s4_addr_o( m%0ds4_addr ),\n",$n); +printf(" .s4_sel_o( m%0ds4_sel ),\n",$n); +printf(" .s4_we_o( m%0ds4_we ),\n",$n); +printf(" .s4_cyc_o( m%0ds4_cyc ),\n",$n); +printf(" .s4_stb_o( m%0ds4_stb ),\n",$n); +printf(" .s4_ack_i( m%0ds4_ack ),\n",$n); +printf(" .s4_err_i( m%0ds4_err ),\n",$n); +printf(" .s4_rty_i( m%0ds4_rty ),\n",$n); +printf(" .s5_data_i( m%0ds5_data_i ),\n",$n); +printf(" .s5_data_o( m%0ds5_data_o ),\n",$n); +printf(" .s5_addr_o( m%0ds5_addr ),\n",$n); +printf(" .s5_sel_o( m%0ds5_sel ),\n",$n); +printf(" .s5_we_o( m%0ds5_we ),\n",$n); +printf(" .s5_cyc_o( m%0ds5_cyc ),\n",$n); +printf(" .s5_stb_o( m%0ds5_stb ),\n",$n); +printf(" .s5_ack_i( m%0ds5_ack ),\n",$n); +printf(" .s5_err_i( m%0ds5_err ),\n",$n); +printf(" .s5_rty_i( m%0ds5_rty ),\n",$n); +printf(" .s6_data_i( m%0ds6_data_i ),\n",$n); +printf(" .s6_data_o( m%0ds6_data_o ),\n",$n); +printf(" .s6_addr_o( m%0ds6_addr ),\n",$n); +printf(" .s6_sel_o( m%0ds6_sel ),\n",$n); +printf(" .s6_we_o( m%0ds6_we ),\n",$n); +printf(" .s6_cyc_o( m%0ds6_cyc ),\n",$n); +printf(" .s6_stb_o( m%0ds6_stb ),\n",$n); +printf(" .s6_ack_i( m%0ds6_ack ),\n",$n); +printf(" .s6_err_i( m%0ds6_err ),\n",$n); +printf(" .s6_rty_i( m%0ds6_rty ),\n",$n); +printf(" .s7_data_i( m%0ds7_data_i ),\n",$n); +printf(" .s7_data_o( m%0ds7_data_o ),\n",$n); +printf(" .s7_addr_o( m%0ds7_addr ),\n",$n); +printf(" .s7_sel_o( m%0ds7_sel ),\n",$n); +printf(" .s7_we_o( m%0ds7_we ),\n",$n); +printf(" .s7_cyc_o( m%0ds7_cyc ),\n",$n); +printf(" .s7_stb_o( m%0ds7_stb ),\n",$n); +printf(" .s7_ack_i( m%0ds7_ack ),\n",$n); +printf(" .s7_err_i( m%0ds7_err ),\n",$n); +printf(" .s7_rty_i( m%0ds7_rty ),\n",$n); +printf(" .s8_data_i( m%0ds8_data_i ),\n",$n); +printf(" .s8_data_o( m%0ds8_data_o ),\n",$n); +printf(" .s8_addr_o( m%0ds8_addr ),\n",$n); +printf(" .s8_sel_o( m%0ds8_sel ),\n",$n); +printf(" .s8_we_o( m%0ds8_we ),\n",$n); +printf(" .s8_cyc_o( m%0ds8_cyc ),\n",$n); +printf(" .s8_stb_o( m%0ds8_stb ),\n",$n); +printf(" .s8_ack_i( m%0ds8_ack ),\n",$n); +printf(" .s8_err_i( m%0ds8_err ),\n",$n); +printf(" .s8_rty_i( m%0ds8_rty ),\n",$n); +printf(" .s9_data_i( m%0ds9_data_i ),\n",$n); +printf(" .s9_data_o( m%0ds9_data_o ),\n",$n); +printf(" .s9_addr_o( m%0ds9_addr ),\n",$n); +printf(" .s9_sel_o( m%0ds9_sel ),\n",$n); +printf(" .s9_we_o( m%0ds9_we ),\n",$n); +printf(" .s9_cyc_o( m%0ds9_cyc ),\n",$n); +printf(" .s9_stb_o( m%0ds9_stb ),\n",$n); +printf(" .s9_ack_i( m%0ds9_ack ),\n",$n); +printf(" .s9_err_i( m%0ds9_err ),\n",$n); +printf(" .s9_rty_i( m%0ds9_rty ),\n",$n); +printf(" .s10_data_i( m%0ds10_data_i ),\n",$n); +printf(" .s10_data_o( m%0ds10_data_o ),\n",$n); +printf(" .s10_addr_o( m%0ds10_addr ),\n",$n); +printf(" .s10_sel_o( m%0ds10_sel ),\n",$n); +printf(" .s10_we_o( m%0ds10_we ),\n",$n); +printf(" .s10_cyc_o( m%0ds10_cyc ),\n",$n); +printf(" .s10_stb_o( m%0ds10_stb ),\n",$n); +printf(" .s10_ack_i( m%0ds10_ack ),\n",$n); +printf(" .s10_err_i( m%0ds10_err ),\n",$n); +printf(" .s10_rty_i( m%0ds10_rty ),\n",$n); +printf(" .s11_data_i( m%0ds11_data_i ),\n",$n); +printf(" .s11_data_o( m%0ds11_data_o ),\n",$n); +printf(" .s11_addr_o( m%0ds11_addr ),\n",$n); +printf(" .s11_sel_o( m%0ds11_sel ),\n",$n); +printf(" .s11_we_o( m%0ds11_we ),\n",$n); +printf(" .s11_cyc_o( m%0ds11_cyc ),\n",$n); +printf(" .s11_stb_o( m%0ds11_stb ),\n",$n); +printf(" .s11_ack_i( m%0ds11_ack ),\n",$n); +printf(" .s11_err_i( m%0ds11_err ),\n",$n); +printf(" .s11_rty_i( m%0ds11_rty ),\n",$n); +printf(" .s12_data_i( m%0ds12_data_i ),\n",$n); +printf(" .s12_data_o( m%0ds12_data_o ),\n",$n); +printf(" .s12_addr_o( m%0ds12_addr ),\n",$n); +printf(" .s12_sel_o( m%0ds12_sel ),\n",$n); +printf(" .s12_we_o( m%0ds12_we ),\n",$n); +printf(" .s12_cyc_o( m%0ds12_cyc ),\n",$n); +printf(" .s12_stb_o( m%0ds12_stb ),\n",$n); +printf(" .s12_ack_i( m%0ds12_ack ),\n",$n); +printf(" .s12_err_i( m%0ds12_err ),\n",$n); +printf(" .s12_rty_i( m%0ds12_rty ),\n",$n); +printf(" .s13_data_i( m%0ds13_data_i ),\n",$n); +printf(" .s13_data_o( m%0ds13_data_o ),\n",$n); +printf(" .s13_addr_o( m%0ds13_addr ),\n",$n); +printf(" .s13_sel_o( m%0ds13_sel ),\n",$n); +printf(" .s13_we_o( m%0ds13_we ),\n",$n); +printf(" .s13_cyc_o( m%0ds13_cyc ),\n",$n); +printf(" .s13_stb_o( m%0ds13_stb ),\n",$n); +printf(" .s13_ack_i( m%0ds13_ack ),\n",$n); +printf(" .s13_err_i( m%0ds13_err ),\n",$n); +printf(" .s13_rty_i( m%0ds13_rty ),\n",$n); +printf(" .s14_data_i( m%0ds14_data_i ),\n",$n); +printf(" .s14_data_o( m%0ds14_data_o ),\n",$n); +printf(" .s14_addr_o( m%0ds14_addr ),\n",$n); +printf(" .s14_sel_o( m%0ds14_sel ),\n",$n); +printf(" .s14_we_o( m%0ds14_we ),\n",$n); +printf(" .s14_cyc_o( m%0ds14_cyc ),\n",$n); +printf(" .s14_stb_o( m%0ds14_stb ),\n",$n); +printf(" .s14_ack_i( m%0ds14_ack ),\n",$n); +printf(" .s14_err_i( m%0ds14_err ),\n",$n); +printf(" .s14_rty_i( m%0ds14_rty ),\n",$n); +printf(" .s15_data_i( m%0ds15_data_i ),\n",$n); +printf(" .s15_data_o( m%0ds15_data_o ),\n",$n); +printf(" .s15_addr_o( m%0ds15_addr ),\n",$n); +printf(" .s15_sel_o( m%0ds15_sel ),\n",$n); +printf(" .s15_we_o( m%0ds15_we ),\n",$n); +printf(" .s15_cyc_o( m%0ds15_cyc ),\n",$n); +printf(" .s15_stb_o( m%0ds15_stb ),\n",$n); +printf(" .s15_ack_i( m%0ds15_ack ),\n",$n); +printf(" .s15_err_i( m%0ds15_err ),\n",$n); +printf(" .s15_rty_i( m%0ds15_rty )\n",$n); +printf(" );\n\n" ); + + + } Index: tags/start/slv2.pl =================================================================== --- tags/start/slv2.pl (nonexistent) +++ tags/start/slv2.pl (revision 3) @@ -0,0 +1,18 @@ + +for($n=0;$n<8;$n++) { +for($m=0;$m<16;$m++) { + +printf("wire [dw-1:0] m%0ds%0d_data_i;\n",$n,$m); +printf("wire [dw-1:0] m%0ds%0d_data_o;\n",$n,$m); +printf("wire [aw-1:0] m%0ds%0d_addr;\n",$n,$m); +printf("wire [sw-1:0] m%0ds%0d_sel;\n",$n,$m); +printf("wire m%0ds%0d_we;\n",$n,$m); +printf("wire m%0ds%0d_cyc;\n",$n,$m); +printf("wire m%0ds%0d_stb;\n",$n,$m); +printf("wire m%0ds%0d_ack;\n",$n,$m); +printf("wire m%0ds%0d_err;\n",$n,$m); +printf("wire m%0ds%0d_rty;\n",$n,$m); + +} + +} Index: tags/start/rtl/verilog/wb_conmax_pri_enc.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_pri_enc.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_pri_enc.v (revision 3) @@ -0,0 +1,179 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Priority Encoder //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_pri_enc.v,v 1.1.1.1 2001-10-19 11:01:41 rudi Exp $ +// +// $Date: 2001-10-19 11:01:41 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_pri_enc( + valid, + pri0, pri1, pri2, pri3, + pri4, pri5, pri6, pri7, + pri_out + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter [1:0] pri_sel = 2'd0; + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input [7:0] valid; +input [1:0] pri0, pri1, pri2, pri3; +input [1:0] pri4, pri5, pri6, pri7; +output [1:0] pri_out; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +wire [3:0] pri0_out, pri1_out, pri2_out, pri3_out; +wire [3:0] pri4_out, pri5_out, pri6_out, pri7_out; +wire [3:0] pri_out_tmp; +reg [1:0] pri_out0, pri_out1; +wire [1:0] pri_out; + +//////////////////////////////////////////////////////////////////// +// +// Priority Decoders +// + +wb_conmax_pri_dec #(pri_sel) pd0( + .valid( valid[0] ), + .pri_in( pri0 ), + .pri_out( pri0_out ) + ); + + +wb_conmax_pri_dec #(pri_sel) pd1( + .valid( valid[1] ), + .pri_in( pri1 ), + .pri_out( pri1_out ) + ); + +wb_conmax_pri_dec #(pri_sel) pd2( + .valid( valid[2] ), + .pri_in( pri2 ), + .pri_out( pri2_out ) + ); + +wb_conmax_pri_dec #(pri_sel) pd3( + .valid( valid[3] ), + .pri_in( pri3 ), + .pri_out( pri3_out ) + ); + +wb_conmax_pri_dec #(pri_sel) pd4( + .valid( valid[4] ), + .pri_in( pri4 ), + .pri_out( pri4_out ) + ); + +wb_conmax_pri_dec #(pri_sel) pd5( + .valid( valid[5] ), + .pri_in( pri5 ), + .pri_out( pri5_out ) + ); + +wb_conmax_pri_dec #(pri_sel) pd6( + .valid( valid[6] ), + .pri_in( pri6 ), + .pri_out( pri6_out ) + ); + +wb_conmax_pri_dec #(pri_sel) pd7( + .valid( valid[7] ), + .pri_in( pri7 ), + .pri_out( pri7_out ) + ); + +//////////////////////////////////////////////////////////////////// +// +// Priority Encoding +// + +assign pri_out_tmp = pri0_out | pri1_out | pri2_out | pri3_out | + pri4_out | pri5_out | pri6_out | pri7_out; + +// 4 Priority Levels +always @(pri_out_tmp) + if(pri_out_tmp[3]) pri_out1 = 2'h3; + else + if(pri_out_tmp[2]) pri_out1 = 2'h2; + else + if(pri_out_tmp[1]) pri_out1 = 2'h1; + else pri_out1 = 2'h0; + +// 2 Priority Levels +always @(pri_out_tmp) + if(pri_out_tmp[1]) pri_out0 = 2'h1; + else pri_out0 = 2'h0; + +//////////////////////////////////////////////////////////////////// +// +// Final Priority Output +// + +// Select configured priority + +assign pri_out = (pri_sel==2'd0) ? 2'h0 : ( (pri_sel==2'd1) ? pri_out0 : pri_out1 ); + +endmodule + + Index: tags/start/rtl/verilog/wb_conmax_msel.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_msel.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_msel.v (revision 3) @@ -0,0 +1,239 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Master Select //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_msel.v,v 1.1.1.1 2001-10-19 11:01:38 rudi Exp $ +// +// $Date: 2001-10-19 11:01:38 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_msel( + clk_i, rst_i, + conf, req, sel, next + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter [1:0] pri_sel = 2'd0; + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input clk_i, rst_i; +input [15:0] conf; +input [7:0] req; +output [2:0] sel; +input next; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +wire [1:0] pri0, pri1, pri2, pri3; +wire [1:0] pri4, pri5, pri6, pri7; +wire [1:0] pri_out_d; +reg [1:0] pri_out; + +wire [7:0] req_p0, req_p1, req_p2, req_p3; +wire [2:0] gnt_p0, gnt_p1, gnt_p2, gnt_p3; + +reg [2:0] sel1, sel2; +wire [2:0] sel; + +//////////////////////////////////////////////////////////////////// +// +// Priority Select logic +// + +assign pri0[0] = (pri_sel == 2'd0) ? 1'b0 : conf[0]; +assign pri0[1] = (pri_sel == 2'd2) ? conf[1] : 1'b0; + +assign pri1[0] = (pri_sel == 2'd0) ? 1'b0 : conf[2]; +assign pri1[1] = (pri_sel == 2'd2) ? conf[3] : 1'b0; + +assign pri2[0] = (pri_sel == 2'd0) ? 1'b0 : conf[4]; +assign pri2[1] = (pri_sel == 2'd2) ? conf[5] : 1'b0; + +assign pri3[0] = (pri_sel == 2'd0) ? 1'b0 : conf[6]; +assign pri3[1] = (pri_sel == 2'd2) ? conf[7] : 1'b0; + +assign pri4[0] = (pri_sel == 2'd0) ? 1'b0 : conf[8]; +assign pri4[1] = (pri_sel == 2'd2) ? conf[9] : 1'b0; + +assign pri5[0] = (pri_sel == 2'd0) ? 1'b0 : conf[10]; +assign pri5[1] = (pri_sel == 2'd2) ? conf[11] : 1'b0; + +assign pri6[0] = (pri_sel == 2'd0) ? 1'b0 : conf[12]; +assign pri6[1] = (pri_sel == 2'd2) ? conf[13] : 1'b0; + +assign pri7[0] = (pri_sel == 2'd0) ? 1'b0 : conf[14]; +assign pri7[1] = (pri_sel == 2'd2) ? conf[15] : 1'b0; + +// Priority Encoder +wb_conmax_pri_enc #(pri_sel) pri_enc( + .valid( req ), + .pri0( pri0 ), + .pri1( pri1 ), + .pri2( pri2 ), + .pri3( pri3 ), + .pri4( pri4 ), + .pri5( pri5 ), + .pri6( pri6 ), + .pri7( pri7 ), + .pri_out( pri_out_d ) + ); + +always @(posedge clk_i) + if(rst_i) pri_out <= #1 2'h0; + else + if(next) pri_out <= #1 pri_out_d; + +//////////////////////////////////////////////////////////////////// +// +// Arbiters +// + +assign req_p0[0] = req[0] & (pri0 == 2'd0); +assign req_p0[1] = req[1] & (pri1 == 2'd0); +assign req_p0[2] = req[2] & (pri2 == 2'd0); +assign req_p0[3] = req[3] & (pri3 == 2'd0); +assign req_p0[4] = req[4] & (pri4 == 2'd0); +assign req_p0[5] = req[5] & (pri5 == 2'd0); +assign req_p0[6] = req[6] & (pri6 == 2'd0); +assign req_p0[7] = req[7] & (pri7 == 2'd0); + +assign req_p1[0] = req[0] & (pri0 == 2'd1); +assign req_p1[1] = req[1] & (pri1 == 2'd1); +assign req_p1[2] = req[2] & (pri2 == 2'd1); +assign req_p1[3] = req[3] & (pri3 == 2'd1); +assign req_p1[4] = req[4] & (pri4 == 2'd1); +assign req_p1[5] = req[5] & (pri5 == 2'd1); +assign req_p1[6] = req[6] & (pri6 == 2'd1); +assign req_p1[7] = req[7] & (pri7 == 2'd1); + +assign req_p2[0] = req[0] & (pri0 == 2'd2); +assign req_p2[1] = req[1] & (pri1 == 2'd2); +assign req_p2[2] = req[2] & (pri2 == 2'd2); +assign req_p2[3] = req[3] & (pri3 == 2'd2); +assign req_p2[4] = req[4] & (pri4 == 2'd2); +assign req_p2[5] = req[5] & (pri5 == 2'd2); +assign req_p2[6] = req[6] & (pri6 == 2'd2); +assign req_p2[7] = req[7] & (pri7 == 2'd2); + +assign req_p3[0] = req[0] & (pri0 == 2'd3); +assign req_p3[1] = req[1] & (pri1 == 2'd3); +assign req_p3[2] = req[2] & (pri2 == 2'd3); +assign req_p3[3] = req[3] & (pri3 == 2'd3); +assign req_p3[4] = req[4] & (pri4 == 2'd3); +assign req_p3[5] = req[5] & (pri5 == 2'd3); +assign req_p3[6] = req[6] & (pri6 == 2'd3); +assign req_p3[7] = req[7] & (pri7 == 2'd3); + +wb_conmax_arb arb0( + .clk( clk_i ), + .rst( rst_i ), + .req( req_p0 ), + .gnt( gnt_p0 ), + .next( 1'b0 ) + ); + +wb_conmax_arb arb1( + .clk( clk_i ), + .rst( rst_i ), + .req( req_p1 ), + .gnt( gnt_p1 ), + .next( 1'b0 ) + ); + +wb_conmax_arb arb2( + .clk( clk_i ), + .rst( rst_i ), + .req( req_p2 ), + .gnt( gnt_p2 ), + .next( 1'b0 ) + ); + +wb_conmax_arb arb3( + .clk( clk_i ), + .rst( rst_i ), + .req( req_p3 ), + .gnt( gnt_p3 ), + .next( 1'b0 ) + ); + +//////////////////////////////////////////////////////////////////// +// +// Final Master Select +// + +always @(pri_out or gnt_p0 or gnt_p1) + if(pri_out[0]) sel1 = gnt_p1; + else sel1 = gnt_p0; + + +always @(pri_out or gnt_p0 or gnt_p1 or gnt_p2 or gnt_p3) + case(pri_out) + 2'd0: sel2 = gnt_p0; + 2'd1: sel2 = gnt_p1; + 2'd2: sel2 = gnt_p2; + 2'd3: sel2 = gnt_p3; + endcase + + +assign sel = (pri_sel==2'd0) ? gnt_p0 : ( (pri_sel==2'd1) ? sel1 : sel2 ); + +endmodule + Index: tags/start/rtl/verilog/wb_conmax_top.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_top.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_top.v (revision 3) @@ -0,0 +1,4799 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Top Level //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_ic/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_top.v,v 1.1.1.1 2001-10-19 11:01:38 rudi Exp $ +// +// $Date: 2001-10-19 11:01:38 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_top( + clk_i, rst_i, + + // Master 0 Interface + m0_data_i, m0_data_o, m0_addr_i, m0_sel_i, m0_we_i, m0_cyc_i, + m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o, + + // Master 1 Interface + m1_data_i, m1_data_o, m1_addr_i, m1_sel_i, m1_we_i, m1_cyc_i, + m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o, + + // Master 2 Interface + m2_data_i, m2_data_o, m2_addr_i, m2_sel_i, m2_we_i, m2_cyc_i, + m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o, + + // Master 3 Interface + m3_data_i, m3_data_o, m3_addr_i, m3_sel_i, m3_we_i, m3_cyc_i, + m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o, + + // Master 4 Interface + m4_data_i, m4_data_o, m4_addr_i, m4_sel_i, m4_we_i, m4_cyc_i, + m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o, + + // Master 5 Interface + m5_data_i, m5_data_o, m5_addr_i, m5_sel_i, m5_we_i, m5_cyc_i, + m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o, + + // Master 6 Interface + m6_data_i, m6_data_o, m6_addr_i, m6_sel_i, m6_we_i, m6_cyc_i, + m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o, + + // Master 7 Interface + m7_data_i, m7_data_o, m7_addr_i, m7_sel_i, m7_we_i, m7_cyc_i, + m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o, + + // Slave 0 Interface + s0_data_i, s0_data_o, s0_addr_o, s0_sel_o, s0_we_o, s0_cyc_o, + s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i, + + // Slave 1 Interface + s1_data_i, s1_data_o, s1_addr_o, s1_sel_o, s1_we_o, s1_cyc_o, + s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i, + + // Slave 2 Interface + s2_data_i, s2_data_o, s2_addr_o, s2_sel_o, s2_we_o, s2_cyc_o, + s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i, + + // Slave 3 Interface + s3_data_i, s3_data_o, s3_addr_o, s3_sel_o, s3_we_o, s3_cyc_o, + s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i, + + // Slave 4 Interface + s4_data_i, s4_data_o, s4_addr_o, s4_sel_o, s4_we_o, s4_cyc_o, + s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i, + + // Slave 5 Interface + s5_data_i, s5_data_o, s5_addr_o, s5_sel_o, s5_we_o, s5_cyc_o, + s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i, + + // Slave 6 Interface + s6_data_i, s6_data_o, s6_addr_o, s6_sel_o, s6_we_o, s6_cyc_o, + s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i, + + // Slave 7 Interface + s7_data_i, s7_data_o, s7_addr_o, s7_sel_o, s7_we_o, s7_cyc_o, + s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i, + + // Slave 8 Interface + s8_data_i, s8_data_o, s8_addr_o, s8_sel_o, s8_we_o, s8_cyc_o, + s8_stb_o, s8_ack_i, s8_err_i, s8_rty_i, + + // Slave 9 Interface + s9_data_i, s9_data_o, s9_addr_o, s9_sel_o, s9_we_o, s9_cyc_o, + s9_stb_o, s9_ack_i, s9_err_i, s9_rty_i, + + // Slave 10 Interface + s10_data_i, s10_data_o, s10_addr_o, s10_sel_o, s10_we_o, s10_cyc_o, + s10_stb_o, s10_ack_i, s10_err_i, s10_rty_i, + + // Slave 11 Interface + s11_data_i, s11_data_o, s11_addr_o, s11_sel_o, s11_we_o, s11_cyc_o, + s11_stb_o, s11_ack_i, s11_err_i, s11_rty_i, + + // Slave 12 Interface + s12_data_i, s12_data_o, s12_addr_o, s12_sel_o, s12_we_o, s12_cyc_o, + s12_stb_o, s12_ack_i, s12_err_i, s12_rty_i, + + // Slave 13 Interface + s13_data_i, s13_data_o, s13_addr_o, s13_sel_o, s13_we_o, s13_cyc_o, + s13_stb_o, s13_ack_i, s13_err_i, s13_rty_i, + + // Slave 14 Interface + s14_data_i, s14_data_o, s14_addr_o, s14_sel_o, s14_we_o, s14_cyc_o, + s14_stb_o, s14_ack_i, s14_err_i, s14_rty_i, + + // Slave 15 Interface + s15_data_i, s15_data_o, s15_addr_o, s15_sel_o, s15_we_o, s15_cyc_o, + s15_stb_o, s15_ack_i, s15_err_i, s15_rty_i + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter dw = 32; // Data bus Width +parameter aw = 32; // Address bus Width +parameter [3:0] rf_addr = 4'hf; +parameter [1:0] pri_sel0 = 2'd2; +parameter [1:0] pri_sel1 = 2'd2; +parameter [1:0] pri_sel2 = 2'd2; +parameter [1:0] pri_sel3 = 2'd2; +parameter [1:0] pri_sel4 = 2'd2; +parameter [1:0] pri_sel5 = 2'd2; +parameter [1:0] pri_sel6 = 2'd2; +parameter [1:0] pri_sel7 = 2'd2; +parameter [1:0] pri_sel8 = 2'd2; +parameter [1:0] pri_sel9 = 2'd2; +parameter [1:0] pri_sel10 = 2'd2; +parameter [1:0] pri_sel11 = 2'd2; +parameter [1:0] pri_sel12 = 2'd2; +parameter [1:0] pri_sel13 = 2'd2; +parameter [1:0] pri_sel14 = 2'd2; +parameter [1:0] pri_sel15 = 2'd2; + +parameter sw = dw / 8; // Number of Select Lines + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input clk_i, rst_i; + +// Master 0 Interface +input [dw-1:0] m0_data_i; +output [dw-1:0] m0_data_o; +input [aw-1:0] m0_addr_i; +input [sw-1:0] m0_sel_i; +input m0_we_i; +input m0_cyc_i; +input m0_stb_i; +output m0_ack_o; +output m0_err_o; +output m0_rty_o; + +// Master 1 Interface +input [dw-1:0] m1_data_i; +output [dw-1:0] m1_data_o; +input [aw-1:0] m1_addr_i; +input [sw-1:0] m1_sel_i; +input m1_we_i; +input m1_cyc_i; +input m1_stb_i; +output m1_ack_o; +output m1_err_o; +output m1_rty_o; + +// Master 2 Interface +input [dw-1:0] m2_data_i; +output [dw-1:0] m2_data_o; +input [aw-1:0] m2_addr_i; +input [sw-1:0] m2_sel_i; +input m2_we_i; +input m2_cyc_i; +input m2_stb_i; +output m2_ack_o; +output m2_err_o; +output m2_rty_o; + +// Master 3 Interface +input [dw-1:0] m3_data_i; +output [dw-1:0] m3_data_o; +input [aw-1:0] m3_addr_i; +input [sw-1:0] m3_sel_i; +input m3_we_i; +input m3_cyc_i; +input m3_stb_i; +output m3_ack_o; +output m3_err_o; +output m3_rty_o; + +// Master 4 Interface +input [dw-1:0] m4_data_i; +output [dw-1:0] m4_data_o; +input [aw-1:0] m4_addr_i; +input [sw-1:0] m4_sel_i; +input m4_we_i; +input m4_cyc_i; +input m4_stb_i; +output m4_ack_o; +output m4_err_o; +output m4_rty_o; + +// Master 5 Interface +input [dw-1:0] m5_data_i; +output [dw-1:0] m5_data_o; +input [aw-1:0] m5_addr_i; +input [sw-1:0] m5_sel_i; +input m5_we_i; +input m5_cyc_i; +input m5_stb_i; +output m5_ack_o; +output m5_err_o; +output m5_rty_o; + +// Master 6 Interface +input [dw-1:0] m6_data_i; +output [dw-1:0] m6_data_o; +input [aw-1:0] m6_addr_i; +input [sw-1:0] m6_sel_i; +input m6_we_i; +input m6_cyc_i; +input m6_stb_i; +output m6_ack_o; +output m6_err_o; +output m6_rty_o; + +// Master 7 Interface +input [dw-1:0] m7_data_i; +output [dw-1:0] m7_data_o; +input [aw-1:0] m7_addr_i; +input [sw-1:0] m7_sel_i; +input m7_we_i; +input m7_cyc_i; +input m7_stb_i; +output m7_ack_o; +output m7_err_o; +output m7_rty_o; + +// Slave 0 Interface +input [dw-1:0] s0_data_i; +output [dw-1:0] s0_data_o; +output [aw-1:0] s0_addr_o; +output [sw-1:0] s0_sel_o; +output s0_we_o; +output s0_cyc_o; +output s0_stb_o; +input s0_ack_i; +input s0_err_i; +input s0_rty_i; + +// Slave 1 Interface +input [dw-1:0] s1_data_i; +output [dw-1:0] s1_data_o; +output [aw-1:0] s1_addr_o; +output [sw-1:0] s1_sel_o; +output s1_we_o; +output s1_cyc_o; +output s1_stb_o; +input s1_ack_i; +input s1_err_i; +input s1_rty_i; + +// Slave 2 Interface +input [dw-1:0] s2_data_i; +output [dw-1:0] s2_data_o; +output [aw-1:0] s2_addr_o; +output [sw-1:0] s2_sel_o; +output s2_we_o; +output s2_cyc_o; +output s2_stb_o; +input s2_ack_i; +input s2_err_i; +input s2_rty_i; + +// Slave 3 Interface +input [dw-1:0] s3_data_i; +output [dw-1:0] s3_data_o; +output [aw-1:0] s3_addr_o; +output [sw-1:0] s3_sel_o; +output s3_we_o; +output s3_cyc_o; +output s3_stb_o; +input s3_ack_i; +input s3_err_i; +input s3_rty_i; + +// Slave 4 Interface +input [dw-1:0] s4_data_i; +output [dw-1:0] s4_data_o; +output [aw-1:0] s4_addr_o; +output [sw-1:0] s4_sel_o; +output s4_we_o; +output s4_cyc_o; +output s4_stb_o; +input s4_ack_i; +input s4_err_i; +input s4_rty_i; + +// Slave 5 Interface +input [dw-1:0] s5_data_i; +output [dw-1:0] s5_data_o; +output [aw-1:0] s5_addr_o; +output [sw-1:0] s5_sel_o; +output s5_we_o; +output s5_cyc_o; +output s5_stb_o; +input s5_ack_i; +input s5_err_i; +input s5_rty_i; + +// Slave 6 Interface +input [dw-1:0] s6_data_i; +output [dw-1:0] s6_data_o; +output [aw-1:0] s6_addr_o; +output [sw-1:0] s6_sel_o; +output s6_we_o; +output s6_cyc_o; +output s6_stb_o; +input s6_ack_i; +input s6_err_i; +input s6_rty_i; + +// Slave 7 Interface +input [dw-1:0] s7_data_i; +output [dw-1:0] s7_data_o; +output [aw-1:0] s7_addr_o; +output [sw-1:0] s7_sel_o; +output s7_we_o; +output s7_cyc_o; +output s7_stb_o; +input s7_ack_i; +input s7_err_i; +input s7_rty_i; + +// Slave 8 Interface +input [dw-1:0] s8_data_i; +output [dw-1:0] s8_data_o; +output [aw-1:0] s8_addr_o; +output [sw-1:0] s8_sel_o; +output s8_we_o; +output s8_cyc_o; +output s8_stb_o; +input s8_ack_i; +input s8_err_i; +input s8_rty_i; + +// Slave 9 Interface +input [dw-1:0] s9_data_i; +output [dw-1:0] s9_data_o; +output [aw-1:0] s9_addr_o; +output [sw-1:0] s9_sel_o; +output s9_we_o; +output s9_cyc_o; +output s9_stb_o; +input s9_ack_i; +input s9_err_i; +input s9_rty_i; + +// Slave 10 Interface +input [dw-1:0] s10_data_i; +output [dw-1:0] s10_data_o; +output [aw-1:0] s10_addr_o; +output [sw-1:0] s10_sel_o; +output s10_we_o; +output s10_cyc_o; +output s10_stb_o; +input s10_ack_i; +input s10_err_i; +input s10_rty_i; + +// Slave 11 Interface +input [dw-1:0] s11_data_i; +output [dw-1:0] s11_data_o; +output [aw-1:0] s11_addr_o; +output [sw-1:0] s11_sel_o; +output s11_we_o; +output s11_cyc_o; +output s11_stb_o; +input s11_ack_i; +input s11_err_i; +input s11_rty_i; + +// Slave 12 Interface +input [dw-1:0] s12_data_i; +output [dw-1:0] s12_data_o; +output [aw-1:0] s12_addr_o; +output [sw-1:0] s12_sel_o; +output s12_we_o; +output s12_cyc_o; +output s12_stb_o; +input s12_ack_i; +input s12_err_i; +input s12_rty_i; + +// Slave 13 Interface +input [dw-1:0] s13_data_i; +output [dw-1:0] s13_data_o; +output [aw-1:0] s13_addr_o; +output [sw-1:0] s13_sel_o; +output s13_we_o; +output s13_cyc_o; +output s13_stb_o; +input s13_ack_i; +input s13_err_i; +input s13_rty_i; + +// Slave 14 Interface +input [dw-1:0] s14_data_i; +output [dw-1:0] s14_data_o; +output [aw-1:0] s14_addr_o; +output [sw-1:0] s14_sel_o; +output s14_we_o; +output s14_cyc_o; +output s14_stb_o; +input s14_ack_i; +input s14_err_i; +input s14_rty_i; + +// Slave 15 Interface +input [dw-1:0] s15_data_i; +output [dw-1:0] s15_data_o; +output [aw-1:0] s15_addr_o; +output [sw-1:0] s15_sel_o; +output s15_we_o; +output s15_cyc_o; +output s15_stb_o; +input s15_ack_i; +input s15_err_i; +input s15_rty_i; + +//////////////////////////////////////////////////////////////////// +// +// Local wires +// + +wire [dw-1:0] i_s15_data_i; +wire [dw-1:0] i_s15_data_o; +wire [aw-1:0] i_s15_addr_o; +wire [sw-1:0] i_s15_sel_o; +wire i_s15_we_o; +wire i_s15_cyc_o; +wire i_s15_stb_o; +wire i_s15_ack_i; +wire i_s15_err_i; +wire i_s15_rty_i; + +wire [dw-1:0] m0s0_data_i; +wire [dw-1:0] m0s0_data_o; +wire [aw-1:0] m0s0_addr; +wire [sw-1:0] m0s0_sel; +wire m0s0_we; +wire m0s0_cyc; +wire m0s0_stb; +wire m0s0_ack; +wire m0s0_err; +wire m0s0_rty; +wire [dw-1:0] m0s1_data_i; +wire [dw-1:0] m0s1_data_o; +wire [aw-1:0] m0s1_addr; +wire [sw-1:0] m0s1_sel; +wire m0s1_we; +wire m0s1_cyc; +wire m0s1_stb; +wire m0s1_ack; +wire m0s1_err; +wire m0s1_rty; +wire [dw-1:0] m0s2_data_i; +wire [dw-1:0] m0s2_data_o; +wire [aw-1:0] m0s2_addr; +wire [sw-1:0] m0s2_sel; +wire m0s2_we; +wire m0s2_cyc; +wire m0s2_stb; +wire m0s2_ack; +wire m0s2_err; +wire m0s2_rty; +wire [dw-1:0] m0s3_data_i; +wire [dw-1:0] m0s3_data_o; +wire [aw-1:0] m0s3_addr; +wire [sw-1:0] m0s3_sel; +wire m0s3_we; +wire m0s3_cyc; +wire m0s3_stb; +wire m0s3_ack; +wire m0s3_err; +wire m0s3_rty; +wire [dw-1:0] m0s4_data_i; +wire [dw-1:0] m0s4_data_o; +wire [aw-1:0] m0s4_addr; +wire [sw-1:0] m0s4_sel; +wire m0s4_we; +wire m0s4_cyc; +wire m0s4_stb; +wire m0s4_ack; +wire m0s4_err; +wire m0s4_rty; +wire [dw-1:0] m0s5_data_i; +wire [dw-1:0] m0s5_data_o; +wire [aw-1:0] m0s5_addr; +wire [sw-1:0] m0s5_sel; +wire m0s5_we; +wire m0s5_cyc; +wire m0s5_stb; +wire m0s5_ack; +wire m0s5_err; +wire m0s5_rty; +wire [dw-1:0] m0s6_data_i; +wire [dw-1:0] m0s6_data_o; +wire [aw-1:0] m0s6_addr; +wire [sw-1:0] m0s6_sel; +wire m0s6_we; +wire m0s6_cyc; +wire m0s6_stb; +wire m0s6_ack; +wire m0s6_err; +wire m0s6_rty; +wire [dw-1:0] m0s7_data_i; +wire [dw-1:0] m0s7_data_o; +wire [aw-1:0] m0s7_addr; +wire [sw-1:0] m0s7_sel; +wire m0s7_we; +wire m0s7_cyc; +wire m0s7_stb; +wire m0s7_ack; +wire m0s7_err; +wire m0s7_rty; +wire [dw-1:0] m0s8_data_i; +wire [dw-1:0] m0s8_data_o; +wire [aw-1:0] m0s8_addr; +wire [sw-1:0] m0s8_sel; +wire m0s8_we; +wire m0s8_cyc; +wire m0s8_stb; +wire m0s8_ack; +wire m0s8_err; +wire m0s8_rty; +wire [dw-1:0] m0s9_data_i; +wire [dw-1:0] m0s9_data_o; +wire [aw-1:0] m0s9_addr; +wire [sw-1:0] m0s9_sel; +wire m0s9_we; +wire m0s9_cyc; +wire m0s9_stb; +wire m0s9_ack; +wire m0s9_err; +wire m0s9_rty; +wire [dw-1:0] m0s10_data_i; +wire [dw-1:0] m0s10_data_o; +wire [aw-1:0] m0s10_addr; +wire [sw-1:0] m0s10_sel; +wire m0s10_we; +wire m0s10_cyc; +wire m0s10_stb; +wire m0s10_ack; +wire m0s10_err; +wire m0s10_rty; +wire [dw-1:0] m0s11_data_i; +wire [dw-1:0] m0s11_data_o; +wire [aw-1:0] m0s11_addr; +wire [sw-1:0] m0s11_sel; +wire m0s11_we; +wire m0s11_cyc; +wire m0s11_stb; +wire m0s11_ack; +wire m0s11_err; +wire m0s11_rty; +wire [dw-1:0] m0s12_data_i; +wire [dw-1:0] m0s12_data_o; +wire [aw-1:0] m0s12_addr; +wire [sw-1:0] m0s12_sel; +wire m0s12_we; +wire m0s12_cyc; +wire m0s12_stb; +wire m0s12_ack; +wire m0s12_err; +wire m0s12_rty; +wire [dw-1:0] m0s13_data_i; +wire [dw-1:0] m0s13_data_o; +wire [aw-1:0] m0s13_addr; +wire [sw-1:0] m0s13_sel; +wire m0s13_we; +wire m0s13_cyc; +wire m0s13_stb; +wire m0s13_ack; +wire m0s13_err; +wire m0s13_rty; +wire [dw-1:0] m0s14_data_i; +wire [dw-1:0] m0s14_data_o; +wire [aw-1:0] m0s14_addr; +wire [sw-1:0] m0s14_sel; +wire m0s14_we; +wire m0s14_cyc; +wire m0s14_stb; +wire m0s14_ack; +wire m0s14_err; +wire m0s14_rty; +wire [dw-1:0] m0s15_data_i; +wire [dw-1:0] m0s15_data_o; +wire [aw-1:0] m0s15_addr; +wire [sw-1:0] m0s15_sel; +wire m0s15_we; +wire m0s15_cyc; +wire m0s15_stb; +wire m0s15_ack; +wire m0s15_err; +wire m0s15_rty; +wire [dw-1:0] m1s0_data_i; +wire [dw-1:0] m1s0_data_o; +wire [aw-1:0] m1s0_addr; +wire [sw-1:0] m1s0_sel; +wire m1s0_we; +wire m1s0_cyc; +wire m1s0_stb; +wire m1s0_ack; +wire m1s0_err; +wire m1s0_rty; +wire [dw-1:0] m1s1_data_i; +wire [dw-1:0] m1s1_data_o; +wire [aw-1:0] m1s1_addr; +wire [sw-1:0] m1s1_sel; +wire m1s1_we; +wire m1s1_cyc; +wire m1s1_stb; +wire m1s1_ack; +wire m1s1_err; +wire m1s1_rty; +wire [dw-1:0] m1s2_data_i; +wire [dw-1:0] m1s2_data_o; +wire [aw-1:0] m1s2_addr; +wire [sw-1:0] m1s2_sel; +wire m1s2_we; +wire m1s2_cyc; +wire m1s2_stb; +wire m1s2_ack; +wire m1s2_err; +wire m1s2_rty; +wire [dw-1:0] m1s3_data_i; +wire [dw-1:0] m1s3_data_o; +wire [aw-1:0] m1s3_addr; +wire [sw-1:0] m1s3_sel; +wire m1s3_we; +wire m1s3_cyc; +wire m1s3_stb; +wire m1s3_ack; +wire m1s3_err; +wire m1s3_rty; +wire [dw-1:0] m1s4_data_i; +wire [dw-1:0] m1s4_data_o; +wire [aw-1:0] m1s4_addr; +wire [sw-1:0] m1s4_sel; +wire m1s4_we; +wire m1s4_cyc; +wire m1s4_stb; +wire m1s4_ack; +wire m1s4_err; +wire m1s4_rty; +wire [dw-1:0] m1s5_data_i; +wire [dw-1:0] m1s5_data_o; +wire [aw-1:0] m1s5_addr; +wire [sw-1:0] m1s5_sel; +wire m1s5_we; +wire m1s5_cyc; +wire m1s5_stb; +wire m1s5_ack; +wire m1s5_err; +wire m1s5_rty; +wire [dw-1:0] m1s6_data_i; +wire [dw-1:0] m1s6_data_o; +wire [aw-1:0] m1s6_addr; +wire [sw-1:0] m1s6_sel; +wire m1s6_we; +wire m1s6_cyc; +wire m1s6_stb; +wire m1s6_ack; +wire m1s6_err; +wire m1s6_rty; +wire [dw-1:0] m1s7_data_i; +wire [dw-1:0] m1s7_data_o; +wire [aw-1:0] m1s7_addr; +wire [sw-1:0] m1s7_sel; +wire m1s7_we; +wire m1s7_cyc; +wire m1s7_stb; +wire m1s7_ack; +wire m1s7_err; +wire m1s7_rty; +wire [dw-1:0] m1s8_data_i; +wire [dw-1:0] m1s8_data_o; +wire [aw-1:0] m1s8_addr; +wire [sw-1:0] m1s8_sel; +wire m1s8_we; +wire m1s8_cyc; +wire m1s8_stb; +wire m1s8_ack; +wire m1s8_err; +wire m1s8_rty; +wire [dw-1:0] m1s9_data_i; +wire [dw-1:0] m1s9_data_o; +wire [aw-1:0] m1s9_addr; +wire [sw-1:0] m1s9_sel; +wire m1s9_we; +wire m1s9_cyc; +wire m1s9_stb; +wire m1s9_ack; +wire m1s9_err; +wire m1s9_rty; +wire [dw-1:0] m1s10_data_i; +wire [dw-1:0] m1s10_data_o; +wire [aw-1:0] m1s10_addr; +wire [sw-1:0] m1s10_sel; +wire m1s10_we; +wire m1s10_cyc; +wire m1s10_stb; +wire m1s10_ack; +wire m1s10_err; +wire m1s10_rty; +wire [dw-1:0] m1s11_data_i; +wire [dw-1:0] m1s11_data_o; +wire [aw-1:0] m1s11_addr; +wire [sw-1:0] m1s11_sel; +wire m1s11_we; +wire m1s11_cyc; +wire m1s11_stb; +wire m1s11_ack; +wire m1s11_err; +wire m1s11_rty; +wire [dw-1:0] m1s12_data_i; +wire [dw-1:0] m1s12_data_o; +wire [aw-1:0] m1s12_addr; +wire [sw-1:0] m1s12_sel; +wire m1s12_we; +wire m1s12_cyc; +wire m1s12_stb; +wire m1s12_ack; +wire m1s12_err; +wire m1s12_rty; +wire [dw-1:0] m1s13_data_i; +wire [dw-1:0] m1s13_data_o; +wire [aw-1:0] m1s13_addr; +wire [sw-1:0] m1s13_sel; +wire m1s13_we; +wire m1s13_cyc; +wire m1s13_stb; +wire m1s13_ack; +wire m1s13_err; +wire m1s13_rty; +wire [dw-1:0] m1s14_data_i; +wire [dw-1:0] m1s14_data_o; +wire [aw-1:0] m1s14_addr; +wire [sw-1:0] m1s14_sel; +wire m1s14_we; +wire m1s14_cyc; +wire m1s14_stb; +wire m1s14_ack; +wire m1s14_err; +wire m1s14_rty; +wire [dw-1:0] m1s15_data_i; +wire [dw-1:0] m1s15_data_o; +wire [aw-1:0] m1s15_addr; +wire [sw-1:0] m1s15_sel; +wire m1s15_we; +wire m1s15_cyc; +wire m1s15_stb; +wire m1s15_ack; +wire m1s15_err; +wire m1s15_rty; +wire [dw-1:0] m2s0_data_i; +wire [dw-1:0] m2s0_data_o; +wire [aw-1:0] m2s0_addr; +wire [sw-1:0] m2s0_sel; +wire m2s0_we; +wire m2s0_cyc; +wire m2s0_stb; +wire m2s0_ack; +wire m2s0_err; +wire m2s0_rty; +wire [dw-1:0] m2s1_data_i; +wire [dw-1:0] m2s1_data_o; +wire [aw-1:0] m2s1_addr; +wire [sw-1:0] m2s1_sel; +wire m2s1_we; +wire m2s1_cyc; +wire m2s1_stb; +wire m2s1_ack; +wire m2s1_err; +wire m2s1_rty; +wire [dw-1:0] m2s2_data_i; +wire [dw-1:0] m2s2_data_o; +wire [aw-1:0] m2s2_addr; +wire [sw-1:0] m2s2_sel; +wire m2s2_we; +wire m2s2_cyc; +wire m2s2_stb; +wire m2s2_ack; +wire m2s2_err; +wire m2s2_rty; +wire [dw-1:0] m2s3_data_i; +wire [dw-1:0] m2s3_data_o; +wire [aw-1:0] m2s3_addr; +wire [sw-1:0] m2s3_sel; +wire m2s3_we; +wire m2s3_cyc; +wire m2s3_stb; +wire m2s3_ack; +wire m2s3_err; +wire m2s3_rty; +wire [dw-1:0] m2s4_data_i; +wire [dw-1:0] m2s4_data_o; +wire [aw-1:0] m2s4_addr; +wire [sw-1:0] m2s4_sel; +wire m2s4_we; +wire m2s4_cyc; +wire m2s4_stb; +wire m2s4_ack; +wire m2s4_err; +wire m2s4_rty; +wire [dw-1:0] m2s5_data_i; +wire [dw-1:0] m2s5_data_o; +wire [aw-1:0] m2s5_addr; +wire [sw-1:0] m2s5_sel; +wire m2s5_we; +wire m2s5_cyc; +wire m2s5_stb; +wire m2s5_ack; +wire m2s5_err; +wire m2s5_rty; +wire [dw-1:0] m2s6_data_i; +wire [dw-1:0] m2s6_data_o; +wire [aw-1:0] m2s6_addr; +wire [sw-1:0] m2s6_sel; +wire m2s6_we; +wire m2s6_cyc; +wire m2s6_stb; +wire m2s6_ack; +wire m2s6_err; +wire m2s6_rty; +wire [dw-1:0] m2s7_data_i; +wire [dw-1:0] m2s7_data_o; +wire [aw-1:0] m2s7_addr; +wire [sw-1:0] m2s7_sel; +wire m2s7_we; +wire m2s7_cyc; +wire m2s7_stb; +wire m2s7_ack; +wire m2s7_err; +wire m2s7_rty; +wire [dw-1:0] m2s8_data_i; +wire [dw-1:0] m2s8_data_o; +wire [aw-1:0] m2s8_addr; +wire [sw-1:0] m2s8_sel; +wire m2s8_we; +wire m2s8_cyc; +wire m2s8_stb; +wire m2s8_ack; +wire m2s8_err; +wire m2s8_rty; +wire [dw-1:0] m2s9_data_i; +wire [dw-1:0] m2s9_data_o; +wire [aw-1:0] m2s9_addr; +wire [sw-1:0] m2s9_sel; +wire m2s9_we; +wire m2s9_cyc; +wire m2s9_stb; +wire m2s9_ack; +wire m2s9_err; +wire m2s9_rty; +wire [dw-1:0] m2s10_data_i; +wire [dw-1:0] m2s10_data_o; +wire [aw-1:0] m2s10_addr; +wire [sw-1:0] m2s10_sel; +wire m2s10_we; +wire m2s10_cyc; +wire m2s10_stb; +wire m2s10_ack; +wire m2s10_err; +wire m2s10_rty; +wire [dw-1:0] m2s11_data_i; +wire [dw-1:0] m2s11_data_o; +wire [aw-1:0] m2s11_addr; +wire [sw-1:0] m2s11_sel; +wire m2s11_we; +wire m2s11_cyc; +wire m2s11_stb; +wire m2s11_ack; +wire m2s11_err; +wire m2s11_rty; +wire [dw-1:0] m2s12_data_i; +wire [dw-1:0] m2s12_data_o; +wire [aw-1:0] m2s12_addr; +wire [sw-1:0] m2s12_sel; +wire m2s12_we; +wire m2s12_cyc; +wire m2s12_stb; +wire m2s12_ack; +wire m2s12_err; +wire m2s12_rty; +wire [dw-1:0] m2s13_data_i; +wire [dw-1:0] m2s13_data_o; +wire [aw-1:0] m2s13_addr; +wire [sw-1:0] m2s13_sel; +wire m2s13_we; +wire m2s13_cyc; +wire m2s13_stb; +wire m2s13_ack; +wire m2s13_err; +wire m2s13_rty; +wire [dw-1:0] m2s14_data_i; +wire [dw-1:0] m2s14_data_o; +wire [aw-1:0] m2s14_addr; +wire [sw-1:0] m2s14_sel; +wire m2s14_we; +wire m2s14_cyc; +wire m2s14_stb; +wire m2s14_ack; +wire m2s14_err; +wire m2s14_rty; +wire [dw-1:0] m2s15_data_i; +wire [dw-1:0] m2s15_data_o; +wire [aw-1:0] m2s15_addr; +wire [sw-1:0] m2s15_sel; +wire m2s15_we; +wire m2s15_cyc; +wire m2s15_stb; +wire m2s15_ack; +wire m2s15_err; +wire m2s15_rty; +wire [dw-1:0] m3s0_data_i; +wire [dw-1:0] m3s0_data_o; +wire [aw-1:0] m3s0_addr; +wire [sw-1:0] m3s0_sel; +wire m3s0_we; +wire m3s0_cyc; +wire m3s0_stb; +wire m3s0_ack; +wire m3s0_err; +wire m3s0_rty; +wire [dw-1:0] m3s1_data_i; +wire [dw-1:0] m3s1_data_o; +wire [aw-1:0] m3s1_addr; +wire [sw-1:0] m3s1_sel; +wire m3s1_we; +wire m3s1_cyc; +wire m3s1_stb; +wire m3s1_ack; +wire m3s1_err; +wire m3s1_rty; +wire [dw-1:0] m3s2_data_i; +wire [dw-1:0] m3s2_data_o; +wire [aw-1:0] m3s2_addr; +wire [sw-1:0] m3s2_sel; +wire m3s2_we; +wire m3s2_cyc; +wire m3s2_stb; +wire m3s2_ack; +wire m3s2_err; +wire m3s2_rty; +wire [dw-1:0] m3s3_data_i; +wire [dw-1:0] m3s3_data_o; +wire [aw-1:0] m3s3_addr; +wire [sw-1:0] m3s3_sel; +wire m3s3_we; +wire m3s3_cyc; +wire m3s3_stb; +wire m3s3_ack; +wire m3s3_err; +wire m3s3_rty; +wire [dw-1:0] m3s4_data_i; +wire [dw-1:0] m3s4_data_o; +wire [aw-1:0] m3s4_addr; +wire [sw-1:0] m3s4_sel; +wire m3s4_we; +wire m3s4_cyc; +wire m3s4_stb; +wire m3s4_ack; +wire m3s4_err; +wire m3s4_rty; +wire [dw-1:0] m3s5_data_i; +wire [dw-1:0] m3s5_data_o; +wire [aw-1:0] m3s5_addr; +wire [sw-1:0] m3s5_sel; +wire m3s5_we; +wire m3s5_cyc; +wire m3s5_stb; +wire m3s5_ack; +wire m3s5_err; +wire m3s5_rty; +wire [dw-1:0] m3s6_data_i; +wire [dw-1:0] m3s6_data_o; +wire [aw-1:0] m3s6_addr; +wire [sw-1:0] m3s6_sel; +wire m3s6_we; +wire m3s6_cyc; +wire m3s6_stb; +wire m3s6_ack; +wire m3s6_err; +wire m3s6_rty; +wire [dw-1:0] m3s7_data_i; +wire [dw-1:0] m3s7_data_o; +wire [aw-1:0] m3s7_addr; +wire [sw-1:0] m3s7_sel; +wire m3s7_we; +wire m3s7_cyc; +wire m3s7_stb; +wire m3s7_ack; +wire m3s7_err; +wire m3s7_rty; +wire [dw-1:0] m3s8_data_i; +wire [dw-1:0] m3s8_data_o; +wire [aw-1:0] m3s8_addr; +wire [sw-1:0] m3s8_sel; +wire m3s8_we; +wire m3s8_cyc; +wire m3s8_stb; +wire m3s8_ack; +wire m3s8_err; +wire m3s8_rty; +wire [dw-1:0] m3s9_data_i; +wire [dw-1:0] m3s9_data_o; +wire [aw-1:0] m3s9_addr; +wire [sw-1:0] m3s9_sel; +wire m3s9_we; +wire m3s9_cyc; +wire m3s9_stb; +wire m3s9_ack; +wire m3s9_err; +wire m3s9_rty; +wire [dw-1:0] m3s10_data_i; +wire [dw-1:0] m3s10_data_o; +wire [aw-1:0] m3s10_addr; +wire [sw-1:0] m3s10_sel; +wire m3s10_we; +wire m3s10_cyc; +wire m3s10_stb; +wire m3s10_ack; +wire m3s10_err; +wire m3s10_rty; +wire [dw-1:0] m3s11_data_i; +wire [dw-1:0] m3s11_data_o; +wire [aw-1:0] m3s11_addr; +wire [sw-1:0] m3s11_sel; +wire m3s11_we; +wire m3s11_cyc; +wire m3s11_stb; +wire m3s11_ack; +wire m3s11_err; +wire m3s11_rty; +wire [dw-1:0] m3s12_data_i; +wire [dw-1:0] m3s12_data_o; +wire [aw-1:0] m3s12_addr; +wire [sw-1:0] m3s12_sel; +wire m3s12_we; +wire m3s12_cyc; +wire m3s12_stb; +wire m3s12_ack; +wire m3s12_err; +wire m3s12_rty; +wire [dw-1:0] m3s13_data_i; +wire [dw-1:0] m3s13_data_o; +wire [aw-1:0] m3s13_addr; +wire [sw-1:0] m3s13_sel; +wire m3s13_we; +wire m3s13_cyc; +wire m3s13_stb; +wire m3s13_ack; +wire m3s13_err; +wire m3s13_rty; +wire [dw-1:0] m3s14_data_i; +wire [dw-1:0] m3s14_data_o; +wire [aw-1:0] m3s14_addr; +wire [sw-1:0] m3s14_sel; +wire m3s14_we; +wire m3s14_cyc; +wire m3s14_stb; +wire m3s14_ack; +wire m3s14_err; +wire m3s14_rty; +wire [dw-1:0] m3s15_data_i; +wire [dw-1:0] m3s15_data_o; +wire [aw-1:0] m3s15_addr; +wire [sw-1:0] m3s15_sel; +wire m3s15_we; +wire m3s15_cyc; +wire m3s15_stb; +wire m3s15_ack; +wire m3s15_err; +wire m3s15_rty; +wire [dw-1:0] m4s0_data_i; +wire [dw-1:0] m4s0_data_o; +wire [aw-1:0] m4s0_addr; +wire [sw-1:0] m4s0_sel; +wire m4s0_we; +wire m4s0_cyc; +wire m4s0_stb; +wire m4s0_ack; +wire m4s0_err; +wire m4s0_rty; +wire [dw-1:0] m4s1_data_i; +wire [dw-1:0] m4s1_data_o; +wire [aw-1:0] m4s1_addr; +wire [sw-1:0] m4s1_sel; +wire m4s1_we; +wire m4s1_cyc; +wire m4s1_stb; +wire m4s1_ack; +wire m4s1_err; +wire m4s1_rty; +wire [dw-1:0] m4s2_data_i; +wire [dw-1:0] m4s2_data_o; +wire [aw-1:0] m4s2_addr; +wire [sw-1:0] m4s2_sel; +wire m4s2_we; +wire m4s2_cyc; +wire m4s2_stb; +wire m4s2_ack; +wire m4s2_err; +wire m4s2_rty; +wire [dw-1:0] m4s3_data_i; +wire [dw-1:0] m4s3_data_o; +wire [aw-1:0] m4s3_addr; +wire [sw-1:0] m4s3_sel; +wire m4s3_we; +wire m4s3_cyc; +wire m4s3_stb; +wire m4s3_ack; +wire m4s3_err; +wire m4s3_rty; +wire [dw-1:0] m4s4_data_i; +wire [dw-1:0] m4s4_data_o; +wire [aw-1:0] m4s4_addr; +wire [sw-1:0] m4s4_sel; +wire m4s4_we; +wire m4s4_cyc; +wire m4s4_stb; +wire m4s4_ack; +wire m4s4_err; +wire m4s4_rty; +wire [dw-1:0] m4s5_data_i; +wire [dw-1:0] m4s5_data_o; +wire [aw-1:0] m4s5_addr; +wire [sw-1:0] m4s5_sel; +wire m4s5_we; +wire m4s5_cyc; +wire m4s5_stb; +wire m4s5_ack; +wire m4s5_err; +wire m4s5_rty; +wire [dw-1:0] m4s6_data_i; +wire [dw-1:0] m4s6_data_o; +wire [aw-1:0] m4s6_addr; +wire [sw-1:0] m4s6_sel; +wire m4s6_we; +wire m4s6_cyc; +wire m4s6_stb; +wire m4s6_ack; +wire m4s6_err; +wire m4s6_rty; +wire [dw-1:0] m4s7_data_i; +wire [dw-1:0] m4s7_data_o; +wire [aw-1:0] m4s7_addr; +wire [sw-1:0] m4s7_sel; +wire m4s7_we; +wire m4s7_cyc; +wire m4s7_stb; +wire m4s7_ack; +wire m4s7_err; +wire m4s7_rty; +wire [dw-1:0] m4s8_data_i; +wire [dw-1:0] m4s8_data_o; +wire [aw-1:0] m4s8_addr; +wire [sw-1:0] m4s8_sel; +wire m4s8_we; +wire m4s8_cyc; +wire m4s8_stb; +wire m4s8_ack; +wire m4s8_err; +wire m4s8_rty; +wire [dw-1:0] m4s9_data_i; +wire [dw-1:0] m4s9_data_o; +wire [aw-1:0] m4s9_addr; +wire [sw-1:0] m4s9_sel; +wire m4s9_we; +wire m4s9_cyc; +wire m4s9_stb; +wire m4s9_ack; +wire m4s9_err; +wire m4s9_rty; +wire [dw-1:0] m4s10_data_i; +wire [dw-1:0] m4s10_data_o; +wire [aw-1:0] m4s10_addr; +wire [sw-1:0] m4s10_sel; +wire m4s10_we; +wire m4s10_cyc; +wire m4s10_stb; +wire m4s10_ack; +wire m4s10_err; +wire m4s10_rty; +wire [dw-1:0] m4s11_data_i; +wire [dw-1:0] m4s11_data_o; +wire [aw-1:0] m4s11_addr; +wire [sw-1:0] m4s11_sel; +wire m4s11_we; +wire m4s11_cyc; +wire m4s11_stb; +wire m4s11_ack; +wire m4s11_err; +wire m4s11_rty; +wire [dw-1:0] m4s12_data_i; +wire [dw-1:0] m4s12_data_o; +wire [aw-1:0] m4s12_addr; +wire [sw-1:0] m4s12_sel; +wire m4s12_we; +wire m4s12_cyc; +wire m4s12_stb; +wire m4s12_ack; +wire m4s12_err; +wire m4s12_rty; +wire [dw-1:0] m4s13_data_i; +wire [dw-1:0] m4s13_data_o; +wire [aw-1:0] m4s13_addr; +wire [sw-1:0] m4s13_sel; +wire m4s13_we; +wire m4s13_cyc; +wire m4s13_stb; +wire m4s13_ack; +wire m4s13_err; +wire m4s13_rty; +wire [dw-1:0] m4s14_data_i; +wire [dw-1:0] m4s14_data_o; +wire [aw-1:0] m4s14_addr; +wire [sw-1:0] m4s14_sel; +wire m4s14_we; +wire m4s14_cyc; +wire m4s14_stb; +wire m4s14_ack; +wire m4s14_err; +wire m4s14_rty; +wire [dw-1:0] m4s15_data_i; +wire [dw-1:0] m4s15_data_o; +wire [aw-1:0] m4s15_addr; +wire [sw-1:0] m4s15_sel; +wire m4s15_we; +wire m4s15_cyc; +wire m4s15_stb; +wire m4s15_ack; +wire m4s15_err; +wire m4s15_rty; +wire [dw-1:0] m5s0_data_i; +wire [dw-1:0] m5s0_data_o; +wire [aw-1:0] m5s0_addr; +wire [sw-1:0] m5s0_sel; +wire m5s0_we; +wire m5s0_cyc; +wire m5s0_stb; +wire m5s0_ack; +wire m5s0_err; +wire m5s0_rty; +wire [dw-1:0] m5s1_data_i; +wire [dw-1:0] m5s1_data_o; +wire [aw-1:0] m5s1_addr; +wire [sw-1:0] m5s1_sel; +wire m5s1_we; +wire m5s1_cyc; +wire m5s1_stb; +wire m5s1_ack; +wire m5s1_err; +wire m5s1_rty; +wire [dw-1:0] m5s2_data_i; +wire [dw-1:0] m5s2_data_o; +wire [aw-1:0] m5s2_addr; +wire [sw-1:0] m5s2_sel; +wire m5s2_we; +wire m5s2_cyc; +wire m5s2_stb; +wire m5s2_ack; +wire m5s2_err; +wire m5s2_rty; +wire [dw-1:0] m5s3_data_i; +wire [dw-1:0] m5s3_data_o; +wire [aw-1:0] m5s3_addr; +wire [sw-1:0] m5s3_sel; +wire m5s3_we; +wire m5s3_cyc; +wire m5s3_stb; +wire m5s3_ack; +wire m5s3_err; +wire m5s3_rty; +wire [dw-1:0] m5s4_data_i; +wire [dw-1:0] m5s4_data_o; +wire [aw-1:0] m5s4_addr; +wire [sw-1:0] m5s4_sel; +wire m5s4_we; +wire m5s4_cyc; +wire m5s4_stb; +wire m5s4_ack; +wire m5s4_err; +wire m5s4_rty; +wire [dw-1:0] m5s5_data_i; +wire [dw-1:0] m5s5_data_o; +wire [aw-1:0] m5s5_addr; +wire [sw-1:0] m5s5_sel; +wire m5s5_we; +wire m5s5_cyc; +wire m5s5_stb; +wire m5s5_ack; +wire m5s5_err; +wire m5s5_rty; +wire [dw-1:0] m5s6_data_i; +wire [dw-1:0] m5s6_data_o; +wire [aw-1:0] m5s6_addr; +wire [sw-1:0] m5s6_sel; +wire m5s6_we; +wire m5s6_cyc; +wire m5s6_stb; +wire m5s6_ack; +wire m5s6_err; +wire m5s6_rty; +wire [dw-1:0] m5s7_data_i; +wire [dw-1:0] m5s7_data_o; +wire [aw-1:0] m5s7_addr; +wire [sw-1:0] m5s7_sel; +wire m5s7_we; +wire m5s7_cyc; +wire m5s7_stb; +wire m5s7_ack; +wire m5s7_err; +wire m5s7_rty; +wire [dw-1:0] m5s8_data_i; +wire [dw-1:0] m5s8_data_o; +wire [aw-1:0] m5s8_addr; +wire [sw-1:0] m5s8_sel; +wire m5s8_we; +wire m5s8_cyc; +wire m5s8_stb; +wire m5s8_ack; +wire m5s8_err; +wire m5s8_rty; +wire [dw-1:0] m5s9_data_i; +wire [dw-1:0] m5s9_data_o; +wire [aw-1:0] m5s9_addr; +wire [sw-1:0] m5s9_sel; +wire m5s9_we; +wire m5s9_cyc; +wire m5s9_stb; +wire m5s9_ack; +wire m5s9_err; +wire m5s9_rty; +wire [dw-1:0] m5s10_data_i; +wire [dw-1:0] m5s10_data_o; +wire [aw-1:0] m5s10_addr; +wire [sw-1:0] m5s10_sel; +wire m5s10_we; +wire m5s10_cyc; +wire m5s10_stb; +wire m5s10_ack; +wire m5s10_err; +wire m5s10_rty; +wire [dw-1:0] m5s11_data_i; +wire [dw-1:0] m5s11_data_o; +wire [aw-1:0] m5s11_addr; +wire [sw-1:0] m5s11_sel; +wire m5s11_we; +wire m5s11_cyc; +wire m5s11_stb; +wire m5s11_ack; +wire m5s11_err; +wire m5s11_rty; +wire [dw-1:0] m5s12_data_i; +wire [dw-1:0] m5s12_data_o; +wire [aw-1:0] m5s12_addr; +wire [sw-1:0] m5s12_sel; +wire m5s12_we; +wire m5s12_cyc; +wire m5s12_stb; +wire m5s12_ack; +wire m5s12_err; +wire m5s12_rty; +wire [dw-1:0] m5s13_data_i; +wire [dw-1:0] m5s13_data_o; +wire [aw-1:0] m5s13_addr; +wire [sw-1:0] m5s13_sel; +wire m5s13_we; +wire m5s13_cyc; +wire m5s13_stb; +wire m5s13_ack; +wire m5s13_err; +wire m5s13_rty; +wire [dw-1:0] m5s14_data_i; +wire [dw-1:0] m5s14_data_o; +wire [aw-1:0] m5s14_addr; +wire [sw-1:0] m5s14_sel; +wire m5s14_we; +wire m5s14_cyc; +wire m5s14_stb; +wire m5s14_ack; +wire m5s14_err; +wire m5s14_rty; +wire [dw-1:0] m5s15_data_i; +wire [dw-1:0] m5s15_data_o; +wire [aw-1:0] m5s15_addr; +wire [sw-1:0] m5s15_sel; +wire m5s15_we; +wire m5s15_cyc; +wire m5s15_stb; +wire m5s15_ack; +wire m5s15_err; +wire m5s15_rty; +wire [dw-1:0] m6s0_data_i; +wire [dw-1:0] m6s0_data_o; +wire [aw-1:0] m6s0_addr; +wire [sw-1:0] m6s0_sel; +wire m6s0_we; +wire m6s0_cyc; +wire m6s0_stb; +wire m6s0_ack; +wire m6s0_err; +wire m6s0_rty; +wire [dw-1:0] m6s1_data_i; +wire [dw-1:0] m6s1_data_o; +wire [aw-1:0] m6s1_addr; +wire [sw-1:0] m6s1_sel; +wire m6s1_we; +wire m6s1_cyc; +wire m6s1_stb; +wire m6s1_ack; +wire m6s1_err; +wire m6s1_rty; +wire [dw-1:0] m6s2_data_i; +wire [dw-1:0] m6s2_data_o; +wire [aw-1:0] m6s2_addr; +wire [sw-1:0] m6s2_sel; +wire m6s2_we; +wire m6s2_cyc; +wire m6s2_stb; +wire m6s2_ack; +wire m6s2_err; +wire m6s2_rty; +wire [dw-1:0] m6s3_data_i; +wire [dw-1:0] m6s3_data_o; +wire [aw-1:0] m6s3_addr; +wire [sw-1:0] m6s3_sel; +wire m6s3_we; +wire m6s3_cyc; +wire m6s3_stb; +wire m6s3_ack; +wire m6s3_err; +wire m6s3_rty; +wire [dw-1:0] m6s4_data_i; +wire [dw-1:0] m6s4_data_o; +wire [aw-1:0] m6s4_addr; +wire [sw-1:0] m6s4_sel; +wire m6s4_we; +wire m6s4_cyc; +wire m6s4_stb; +wire m6s4_ack; +wire m6s4_err; +wire m6s4_rty; +wire [dw-1:0] m6s5_data_i; +wire [dw-1:0] m6s5_data_o; +wire [aw-1:0] m6s5_addr; +wire [sw-1:0] m6s5_sel; +wire m6s5_we; +wire m6s5_cyc; +wire m6s5_stb; +wire m6s5_ack; +wire m6s5_err; +wire m6s5_rty; +wire [dw-1:0] m6s6_data_i; +wire [dw-1:0] m6s6_data_o; +wire [aw-1:0] m6s6_addr; +wire [sw-1:0] m6s6_sel; +wire m6s6_we; +wire m6s6_cyc; +wire m6s6_stb; +wire m6s6_ack; +wire m6s6_err; +wire m6s6_rty; +wire [dw-1:0] m6s7_data_i; +wire [dw-1:0] m6s7_data_o; +wire [aw-1:0] m6s7_addr; +wire [sw-1:0] m6s7_sel; +wire m6s7_we; +wire m6s7_cyc; +wire m6s7_stb; +wire m6s7_ack; +wire m6s7_err; +wire m6s7_rty; +wire [dw-1:0] m6s8_data_i; +wire [dw-1:0] m6s8_data_o; +wire [aw-1:0] m6s8_addr; +wire [sw-1:0] m6s8_sel; +wire m6s8_we; +wire m6s8_cyc; +wire m6s8_stb; +wire m6s8_ack; +wire m6s8_err; +wire m6s8_rty; +wire [dw-1:0] m6s9_data_i; +wire [dw-1:0] m6s9_data_o; +wire [aw-1:0] m6s9_addr; +wire [sw-1:0] m6s9_sel; +wire m6s9_we; +wire m6s9_cyc; +wire m6s9_stb; +wire m6s9_ack; +wire m6s9_err; +wire m6s9_rty; +wire [dw-1:0] m6s10_data_i; +wire [dw-1:0] m6s10_data_o; +wire [aw-1:0] m6s10_addr; +wire [sw-1:0] m6s10_sel; +wire m6s10_we; +wire m6s10_cyc; +wire m6s10_stb; +wire m6s10_ack; +wire m6s10_err; +wire m6s10_rty; +wire [dw-1:0] m6s11_data_i; +wire [dw-1:0] m6s11_data_o; +wire [aw-1:0] m6s11_addr; +wire [sw-1:0] m6s11_sel; +wire m6s11_we; +wire m6s11_cyc; +wire m6s11_stb; +wire m6s11_ack; +wire m6s11_err; +wire m6s11_rty; +wire [dw-1:0] m6s12_data_i; +wire [dw-1:0] m6s12_data_o; +wire [aw-1:0] m6s12_addr; +wire [sw-1:0] m6s12_sel; +wire m6s12_we; +wire m6s12_cyc; +wire m6s12_stb; +wire m6s12_ack; +wire m6s12_err; +wire m6s12_rty; +wire [dw-1:0] m6s13_data_i; +wire [dw-1:0] m6s13_data_o; +wire [aw-1:0] m6s13_addr; +wire [sw-1:0] m6s13_sel; +wire m6s13_we; +wire m6s13_cyc; +wire m6s13_stb; +wire m6s13_ack; +wire m6s13_err; +wire m6s13_rty; +wire [dw-1:0] m6s14_data_i; +wire [dw-1:0] m6s14_data_o; +wire [aw-1:0] m6s14_addr; +wire [sw-1:0] m6s14_sel; +wire m6s14_we; +wire m6s14_cyc; +wire m6s14_stb; +wire m6s14_ack; +wire m6s14_err; +wire m6s14_rty; +wire [dw-1:0] m6s15_data_i; +wire [dw-1:0] m6s15_data_o; +wire [aw-1:0] m6s15_addr; +wire [sw-1:0] m6s15_sel; +wire m6s15_we; +wire m6s15_cyc; +wire m6s15_stb; +wire m6s15_ack; +wire m6s15_err; +wire m6s15_rty; +wire [dw-1:0] m7s0_data_i; +wire [dw-1:0] m7s0_data_o; +wire [aw-1:0] m7s0_addr; +wire [sw-1:0] m7s0_sel; +wire m7s0_we; +wire m7s0_cyc; +wire m7s0_stb; +wire m7s0_ack; +wire m7s0_err; +wire m7s0_rty; +wire [dw-1:0] m7s1_data_i; +wire [dw-1:0] m7s1_data_o; +wire [aw-1:0] m7s1_addr; +wire [sw-1:0] m7s1_sel; +wire m7s1_we; +wire m7s1_cyc; +wire m7s1_stb; +wire m7s1_ack; +wire m7s1_err; +wire m7s1_rty; +wire [dw-1:0] m7s2_data_i; +wire [dw-1:0] m7s2_data_o; +wire [aw-1:0] m7s2_addr; +wire [sw-1:0] m7s2_sel; +wire m7s2_we; +wire m7s2_cyc; +wire m7s2_stb; +wire m7s2_ack; +wire m7s2_err; +wire m7s2_rty; +wire [dw-1:0] m7s3_data_i; +wire [dw-1:0] m7s3_data_o; +wire [aw-1:0] m7s3_addr; +wire [sw-1:0] m7s3_sel; +wire m7s3_we; +wire m7s3_cyc; +wire m7s3_stb; +wire m7s3_ack; +wire m7s3_err; +wire m7s3_rty; +wire [dw-1:0] m7s4_data_i; +wire [dw-1:0] m7s4_data_o; +wire [aw-1:0] m7s4_addr; +wire [sw-1:0] m7s4_sel; +wire m7s4_we; +wire m7s4_cyc; +wire m7s4_stb; +wire m7s4_ack; +wire m7s4_err; +wire m7s4_rty; +wire [dw-1:0] m7s5_data_i; +wire [dw-1:0] m7s5_data_o; +wire [aw-1:0] m7s5_addr; +wire [sw-1:0] m7s5_sel; +wire m7s5_we; +wire m7s5_cyc; +wire m7s5_stb; +wire m7s5_ack; +wire m7s5_err; +wire m7s5_rty; +wire [dw-1:0] m7s6_data_i; +wire [dw-1:0] m7s6_data_o; +wire [aw-1:0] m7s6_addr; +wire [sw-1:0] m7s6_sel; +wire m7s6_we; +wire m7s6_cyc; +wire m7s6_stb; +wire m7s6_ack; +wire m7s6_err; +wire m7s6_rty; +wire [dw-1:0] m7s7_data_i; +wire [dw-1:0] m7s7_data_o; +wire [aw-1:0] m7s7_addr; +wire [sw-1:0] m7s7_sel; +wire m7s7_we; +wire m7s7_cyc; +wire m7s7_stb; +wire m7s7_ack; +wire m7s7_err; +wire m7s7_rty; +wire [dw-1:0] m7s8_data_i; +wire [dw-1:0] m7s8_data_o; +wire [aw-1:0] m7s8_addr; +wire [sw-1:0] m7s8_sel; +wire m7s8_we; +wire m7s8_cyc; +wire m7s8_stb; +wire m7s8_ack; +wire m7s8_err; +wire m7s8_rty; +wire [dw-1:0] m7s9_data_i; +wire [dw-1:0] m7s9_data_o; +wire [aw-1:0] m7s9_addr; +wire [sw-1:0] m7s9_sel; +wire m7s9_we; +wire m7s9_cyc; +wire m7s9_stb; +wire m7s9_ack; +wire m7s9_err; +wire m7s9_rty; +wire [dw-1:0] m7s10_data_i; +wire [dw-1:0] m7s10_data_o; +wire [aw-1:0] m7s10_addr; +wire [sw-1:0] m7s10_sel; +wire m7s10_we; +wire m7s10_cyc; +wire m7s10_stb; +wire m7s10_ack; +wire m7s10_err; +wire m7s10_rty; +wire [dw-1:0] m7s11_data_i; +wire [dw-1:0] m7s11_data_o; +wire [aw-1:0] m7s11_addr; +wire [sw-1:0] m7s11_sel; +wire m7s11_we; +wire m7s11_cyc; +wire m7s11_stb; +wire m7s11_ack; +wire m7s11_err; +wire m7s11_rty; +wire [dw-1:0] m7s12_data_i; +wire [dw-1:0] m7s12_data_o; +wire [aw-1:0] m7s12_addr; +wire [sw-1:0] m7s12_sel; +wire m7s12_we; +wire m7s12_cyc; +wire m7s12_stb; +wire m7s12_ack; +wire m7s12_err; +wire m7s12_rty; +wire [dw-1:0] m7s13_data_i; +wire [dw-1:0] m7s13_data_o; +wire [aw-1:0] m7s13_addr; +wire [sw-1:0] m7s13_sel; +wire m7s13_we; +wire m7s13_cyc; +wire m7s13_stb; +wire m7s13_ack; +wire m7s13_err; +wire m7s13_rty; +wire [dw-1:0] m7s14_data_i; +wire [dw-1:0] m7s14_data_o; +wire [aw-1:0] m7s14_addr; +wire [sw-1:0] m7s14_sel; +wire m7s14_we; +wire m7s14_cyc; +wire m7s14_stb; +wire m7s14_ack; +wire m7s14_err; +wire m7s14_rty; +wire [dw-1:0] m7s15_data_i; +wire [dw-1:0] m7s15_data_o; +wire [aw-1:0] m7s15_addr; +wire [sw-1:0] m7s15_sel; +wire m7s15_we; +wire m7s15_cyc; +wire m7s15_stb; +wire m7s15_ack; +wire m7s15_err; +wire m7s15_rty; + +wire [15:0] conf0; +wire [15:0] conf1; +wire [15:0] conf2; +wire [15:0] conf3; +wire [15:0] conf4; +wire [15:0] conf5; +wire [15:0] conf6; +wire [15:0] conf7; +wire [15:0] conf8; +wire [15:0] conf9; +wire [15:0] conf10; +wire [15:0] conf11; +wire [15:0] conf12; +wire [15:0] conf13; +wire [15:0] conf14; +wire [15:0] conf15; + +//////////////////////////////////////////////////////////////////// +// +// Initial Configuration Check +// + +// synopsys translate_off +initial + begin + if(dw<16) + begin + $display("ERROR: Setting Data bus width to less than 16 bits, will"); + $display(" make it impossible to use the configurations registers."); + $finish; + end + end +// synopsys translate_on + +//////////////////////////////////////////////////////////////////// +// +// Master Interfaces +// + +wb_conmax_master_if #(aw,dw,sw) m0( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m0_data_i ), + .wb_data_o( m0_data_o ), + .wb_addr_i( m0_addr_i ), + .wb_sel_i( m0_sel_i ), + .wb_we_i( m0_we_i ), + .wb_cyc_i( m0_cyc_i ), + .wb_stb_i( m0_stb_i ), + .wb_ack_o( m0_ack_o ), + .wb_err_o( m0_err_o ), + .wb_rty_o( m0_rty_o ), + .s0_data_i( m0s0_data_i ), + .s0_data_o( m0s0_data_o ), + .s0_addr_o( m0s0_addr ), + .s0_sel_o( m0s0_sel ), + .s0_we_o( m0s0_we ), + .s0_cyc_o( m0s0_cyc ), + .s0_stb_o( m0s0_stb ), + .s0_ack_i( m0s0_ack ), + .s0_err_i( m0s0_err ), + .s0_rty_i( m0s0_rty ), + .s1_data_i( m0s1_data_i ), + .s1_data_o( m0s1_data_o ), + .s1_addr_o( m0s1_addr ), + .s1_sel_o( m0s1_sel ), + .s1_we_o( m0s1_we ), + .s1_cyc_o( m0s1_cyc ), + .s1_stb_o( m0s1_stb ), + .s1_ack_i( m0s1_ack ), + .s1_err_i( m0s1_err ), + .s1_rty_i( m0s1_rty ), + .s2_data_i( m0s2_data_i ), + .s2_data_o( m0s2_data_o ), + .s2_addr_o( m0s2_addr ), + .s2_sel_o( m0s2_sel ), + .s2_we_o( m0s2_we ), + .s2_cyc_o( m0s2_cyc ), + .s2_stb_o( m0s2_stb ), + .s2_ack_i( m0s2_ack ), + .s2_err_i( m0s2_err ), + .s2_rty_i( m0s2_rty ), + .s3_data_i( m0s3_data_i ), + .s3_data_o( m0s3_data_o ), + .s3_addr_o( m0s3_addr ), + .s3_sel_o( m0s3_sel ), + .s3_we_o( m0s3_we ), + .s3_cyc_o( m0s3_cyc ), + .s3_stb_o( m0s3_stb ), + .s3_ack_i( m0s3_ack ), + .s3_err_i( m0s3_err ), + .s3_rty_i( m0s3_rty ), + .s4_data_i( m0s4_data_i ), + .s4_data_o( m0s4_data_o ), + .s4_addr_o( m0s4_addr ), + .s4_sel_o( m0s4_sel ), + .s4_we_o( m0s4_we ), + .s4_cyc_o( m0s4_cyc ), + .s4_stb_o( m0s4_stb ), + .s4_ack_i( m0s4_ack ), + .s4_err_i( m0s4_err ), + .s4_rty_i( m0s4_rty ), + .s5_data_i( m0s5_data_i ), + .s5_data_o( m0s5_data_o ), + .s5_addr_o( m0s5_addr ), + .s5_sel_o( m0s5_sel ), + .s5_we_o( m0s5_we ), + .s5_cyc_o( m0s5_cyc ), + .s5_stb_o( m0s5_stb ), + .s5_ack_i( m0s5_ack ), + .s5_err_i( m0s5_err ), + .s5_rty_i( m0s5_rty ), + .s6_data_i( m0s6_data_i ), + .s6_data_o( m0s6_data_o ), + .s6_addr_o( m0s6_addr ), + .s6_sel_o( m0s6_sel ), + .s6_we_o( m0s6_we ), + .s6_cyc_o( m0s6_cyc ), + .s6_stb_o( m0s6_stb ), + .s6_ack_i( m0s6_ack ), + .s6_err_i( m0s6_err ), + .s6_rty_i( m0s6_rty ), + .s7_data_i( m0s7_data_i ), + .s7_data_o( m0s7_data_o ), + .s7_addr_o( m0s7_addr ), + .s7_sel_o( m0s7_sel ), + .s7_we_o( m0s7_we ), + .s7_cyc_o( m0s7_cyc ), + .s7_stb_o( m0s7_stb ), + .s7_ack_i( m0s7_ack ), + .s7_err_i( m0s7_err ), + .s7_rty_i( m0s7_rty ), + .s8_data_i( m0s8_data_i ), + .s8_data_o( m0s8_data_o ), + .s8_addr_o( m0s8_addr ), + .s8_sel_o( m0s8_sel ), + .s8_we_o( m0s8_we ), + .s8_cyc_o( m0s8_cyc ), + .s8_stb_o( m0s8_stb ), + .s8_ack_i( m0s8_ack ), + .s8_err_i( m0s8_err ), + .s8_rty_i( m0s8_rty ), + .s9_data_i( m0s9_data_i ), + .s9_data_o( m0s9_data_o ), + .s9_addr_o( m0s9_addr ), + .s9_sel_o( m0s9_sel ), + .s9_we_o( m0s9_we ), + .s9_cyc_o( m0s9_cyc ), + .s9_stb_o( m0s9_stb ), + .s9_ack_i( m0s9_ack ), + .s9_err_i( m0s9_err ), + .s9_rty_i( m0s9_rty ), + .s10_data_i( m0s10_data_i ), + .s10_data_o( m0s10_data_o ), + .s10_addr_o( m0s10_addr ), + .s10_sel_o( m0s10_sel ), + .s10_we_o( m0s10_we ), + .s10_cyc_o( m0s10_cyc ), + .s10_stb_o( m0s10_stb ), + .s10_ack_i( m0s10_ack ), + .s10_err_i( m0s10_err ), + .s10_rty_i( m0s10_rty ), + .s11_data_i( m0s11_data_i ), + .s11_data_o( m0s11_data_o ), + .s11_addr_o( m0s11_addr ), + .s11_sel_o( m0s11_sel ), + .s11_we_o( m0s11_we ), + .s11_cyc_o( m0s11_cyc ), + .s11_stb_o( m0s11_stb ), + .s11_ack_i( m0s11_ack ), + .s11_err_i( m0s11_err ), + .s11_rty_i( m0s11_rty ), + .s12_data_i( m0s12_data_i ), + .s12_data_o( m0s12_data_o ), + .s12_addr_o( m0s12_addr ), + .s12_sel_o( m0s12_sel ), + .s12_we_o( m0s12_we ), + .s12_cyc_o( m0s12_cyc ), + .s12_stb_o( m0s12_stb ), + .s12_ack_i( m0s12_ack ), + .s12_err_i( m0s12_err ), + .s12_rty_i( m0s12_rty ), + .s13_data_i( m0s13_data_i ), + .s13_data_o( m0s13_data_o ), + .s13_addr_o( m0s13_addr ), + .s13_sel_o( m0s13_sel ), + .s13_we_o( m0s13_we ), + .s13_cyc_o( m0s13_cyc ), + .s13_stb_o( m0s13_stb ), + .s13_ack_i( m0s13_ack ), + .s13_err_i( m0s13_err ), + .s13_rty_i( m0s13_rty ), + .s14_data_i( m0s14_data_i ), + .s14_data_o( m0s14_data_o ), + .s14_addr_o( m0s14_addr ), + .s14_sel_o( m0s14_sel ), + .s14_we_o( m0s14_we ), + .s14_cyc_o( m0s14_cyc ), + .s14_stb_o( m0s14_stb ), + .s14_ack_i( m0s14_ack ), + .s14_err_i( m0s14_err ), + .s14_rty_i( m0s14_rty ), + .s15_data_i( m0s15_data_i ), + .s15_data_o( m0s15_data_o ), + .s15_addr_o( m0s15_addr ), + .s15_sel_o( m0s15_sel ), + .s15_we_o( m0s15_we ), + .s15_cyc_o( m0s15_cyc ), + .s15_stb_o( m0s15_stb ), + .s15_ack_i( m0s15_ack ), + .s15_err_i( m0s15_err ), + .s15_rty_i( m0s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m1( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m1_data_i ), + .wb_data_o( m1_data_o ), + .wb_addr_i( m1_addr_i ), + .wb_sel_i( m1_sel_i ), + .wb_we_i( m1_we_i ), + .wb_cyc_i( m1_cyc_i ), + .wb_stb_i( m1_stb_i ), + .wb_ack_o( m1_ack_o ), + .wb_err_o( m1_err_o ), + .wb_rty_o( m1_rty_o ), + .s0_data_i( m1s0_data_i ), + .s0_data_o( m1s0_data_o ), + .s0_addr_o( m1s0_addr ), + .s0_sel_o( m1s0_sel ), + .s0_we_o( m1s0_we ), + .s0_cyc_o( m1s0_cyc ), + .s0_stb_o( m1s0_stb ), + .s0_ack_i( m1s0_ack ), + .s0_err_i( m1s0_err ), + .s0_rty_i( m1s0_rty ), + .s1_data_i( m1s1_data_i ), + .s1_data_o( m1s1_data_o ), + .s1_addr_o( m1s1_addr ), + .s1_sel_o( m1s1_sel ), + .s1_we_o( m1s1_we ), + .s1_cyc_o( m1s1_cyc ), + .s1_stb_o( m1s1_stb ), + .s1_ack_i( m1s1_ack ), + .s1_err_i( m1s1_err ), + .s1_rty_i( m1s1_rty ), + .s2_data_i( m1s2_data_i ), + .s2_data_o( m1s2_data_o ), + .s2_addr_o( m1s2_addr ), + .s2_sel_o( m1s2_sel ), + .s2_we_o( m1s2_we ), + .s2_cyc_o( m1s2_cyc ), + .s2_stb_o( m1s2_stb ), + .s2_ack_i( m1s2_ack ), + .s2_err_i( m1s2_err ), + .s2_rty_i( m1s2_rty ), + .s3_data_i( m1s3_data_i ), + .s3_data_o( m1s3_data_o ), + .s3_addr_o( m1s3_addr ), + .s3_sel_o( m1s3_sel ), + .s3_we_o( m1s3_we ), + .s3_cyc_o( m1s3_cyc ), + .s3_stb_o( m1s3_stb ), + .s3_ack_i( m1s3_ack ), + .s3_err_i( m1s3_err ), + .s3_rty_i( m1s3_rty ), + .s4_data_i( m1s4_data_i ), + .s4_data_o( m1s4_data_o ), + .s4_addr_o( m1s4_addr ), + .s4_sel_o( m1s4_sel ), + .s4_we_o( m1s4_we ), + .s4_cyc_o( m1s4_cyc ), + .s4_stb_o( m1s4_stb ), + .s4_ack_i( m1s4_ack ), + .s4_err_i( m1s4_err ), + .s4_rty_i( m1s4_rty ), + .s5_data_i( m1s5_data_i ), + .s5_data_o( m1s5_data_o ), + .s5_addr_o( m1s5_addr ), + .s5_sel_o( m1s5_sel ), + .s5_we_o( m1s5_we ), + .s5_cyc_o( m1s5_cyc ), + .s5_stb_o( m1s5_stb ), + .s5_ack_i( m1s5_ack ), + .s5_err_i( m1s5_err ), + .s5_rty_i( m1s5_rty ), + .s6_data_i( m1s6_data_i ), + .s6_data_o( m1s6_data_o ), + .s6_addr_o( m1s6_addr ), + .s6_sel_o( m1s6_sel ), + .s6_we_o( m1s6_we ), + .s6_cyc_o( m1s6_cyc ), + .s6_stb_o( m1s6_stb ), + .s6_ack_i( m1s6_ack ), + .s6_err_i( m1s6_err ), + .s6_rty_i( m1s6_rty ), + .s7_data_i( m1s7_data_i ), + .s7_data_o( m1s7_data_o ), + .s7_addr_o( m1s7_addr ), + .s7_sel_o( m1s7_sel ), + .s7_we_o( m1s7_we ), + .s7_cyc_o( m1s7_cyc ), + .s7_stb_o( m1s7_stb ), + .s7_ack_i( m1s7_ack ), + .s7_err_i( m1s7_err ), + .s7_rty_i( m1s7_rty ), + .s8_data_i( m1s8_data_i ), + .s8_data_o( m1s8_data_o ), + .s8_addr_o( m1s8_addr ), + .s8_sel_o( m1s8_sel ), + .s8_we_o( m1s8_we ), + .s8_cyc_o( m1s8_cyc ), + .s8_stb_o( m1s8_stb ), + .s8_ack_i( m1s8_ack ), + .s8_err_i( m1s8_err ), + .s8_rty_i( m1s8_rty ), + .s9_data_i( m1s9_data_i ), + .s9_data_o( m1s9_data_o ), + .s9_addr_o( m1s9_addr ), + .s9_sel_o( m1s9_sel ), + .s9_we_o( m1s9_we ), + .s9_cyc_o( m1s9_cyc ), + .s9_stb_o( m1s9_stb ), + .s9_ack_i( m1s9_ack ), + .s9_err_i( m1s9_err ), + .s9_rty_i( m1s9_rty ), + .s10_data_i( m1s10_data_i ), + .s10_data_o( m1s10_data_o ), + .s10_addr_o( m1s10_addr ), + .s10_sel_o( m1s10_sel ), + .s10_we_o( m1s10_we ), + .s10_cyc_o( m1s10_cyc ), + .s10_stb_o( m1s10_stb ), + .s10_ack_i( m1s10_ack ), + .s10_err_i( m1s10_err ), + .s10_rty_i( m1s10_rty ), + .s11_data_i( m1s11_data_i ), + .s11_data_o( m1s11_data_o ), + .s11_addr_o( m1s11_addr ), + .s11_sel_o( m1s11_sel ), + .s11_we_o( m1s11_we ), + .s11_cyc_o( m1s11_cyc ), + .s11_stb_o( m1s11_stb ), + .s11_ack_i( m1s11_ack ), + .s11_err_i( m1s11_err ), + .s11_rty_i( m1s11_rty ), + .s12_data_i( m1s12_data_i ), + .s12_data_o( m1s12_data_o ), + .s12_addr_o( m1s12_addr ), + .s12_sel_o( m1s12_sel ), + .s12_we_o( m1s12_we ), + .s12_cyc_o( m1s12_cyc ), + .s12_stb_o( m1s12_stb ), + .s12_ack_i( m1s12_ack ), + .s12_err_i( m1s12_err ), + .s12_rty_i( m1s12_rty ), + .s13_data_i( m1s13_data_i ), + .s13_data_o( m1s13_data_o ), + .s13_addr_o( m1s13_addr ), + .s13_sel_o( m1s13_sel ), + .s13_we_o( m1s13_we ), + .s13_cyc_o( m1s13_cyc ), + .s13_stb_o( m1s13_stb ), + .s13_ack_i( m1s13_ack ), + .s13_err_i( m1s13_err ), + .s13_rty_i( m1s13_rty ), + .s14_data_i( m1s14_data_i ), + .s14_data_o( m1s14_data_o ), + .s14_addr_o( m1s14_addr ), + .s14_sel_o( m1s14_sel ), + .s14_we_o( m1s14_we ), + .s14_cyc_o( m1s14_cyc ), + .s14_stb_o( m1s14_stb ), + .s14_ack_i( m1s14_ack ), + .s14_err_i( m1s14_err ), + .s14_rty_i( m1s14_rty ), + .s15_data_i( m1s15_data_i ), + .s15_data_o( m1s15_data_o ), + .s15_addr_o( m1s15_addr ), + .s15_sel_o( m1s15_sel ), + .s15_we_o( m1s15_we ), + .s15_cyc_o( m1s15_cyc ), + .s15_stb_o( m1s15_stb ), + .s15_ack_i( m1s15_ack ), + .s15_err_i( m1s15_err ), + .s15_rty_i( m1s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m2( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m2_data_i ), + .wb_data_o( m2_data_o ), + .wb_addr_i( m2_addr_i ), + .wb_sel_i( m2_sel_i ), + .wb_we_i( m2_we_i ), + .wb_cyc_i( m2_cyc_i ), + .wb_stb_i( m2_stb_i ), + .wb_ack_o( m2_ack_o ), + .wb_err_o( m2_err_o ), + .wb_rty_o( m2_rty_o ), + .s0_data_i( m2s0_data_i ), + .s0_data_o( m2s0_data_o ), + .s0_addr_o( m2s0_addr ), + .s0_sel_o( m2s0_sel ), + .s0_we_o( m2s0_we ), + .s0_cyc_o( m2s0_cyc ), + .s0_stb_o( m2s0_stb ), + .s0_ack_i( m2s0_ack ), + .s0_err_i( m2s0_err ), + .s0_rty_i( m2s0_rty ), + .s1_data_i( m2s1_data_i ), + .s1_data_o( m2s1_data_o ), + .s1_addr_o( m2s1_addr ), + .s1_sel_o( m2s1_sel ), + .s1_we_o( m2s1_we ), + .s1_cyc_o( m2s1_cyc ), + .s1_stb_o( m2s1_stb ), + .s1_ack_i( m2s1_ack ), + .s1_err_i( m2s1_err ), + .s1_rty_i( m2s1_rty ), + .s2_data_i( m2s2_data_i ), + .s2_data_o( m2s2_data_o ), + .s2_addr_o( m2s2_addr ), + .s2_sel_o( m2s2_sel ), + .s2_we_o( m2s2_we ), + .s2_cyc_o( m2s2_cyc ), + .s2_stb_o( m2s2_stb ), + .s2_ack_i( m2s2_ack ), + .s2_err_i( m2s2_err ), + .s2_rty_i( m2s2_rty ), + .s3_data_i( m2s3_data_i ), + .s3_data_o( m2s3_data_o ), + .s3_addr_o( m2s3_addr ), + .s3_sel_o( m2s3_sel ), + .s3_we_o( m2s3_we ), + .s3_cyc_o( m2s3_cyc ), + .s3_stb_o( m2s3_stb ), + .s3_ack_i( m2s3_ack ), + .s3_err_i( m2s3_err ), + .s3_rty_i( m2s3_rty ), + .s4_data_i( m2s4_data_i ), + .s4_data_o( m2s4_data_o ), + .s4_addr_o( m2s4_addr ), + .s4_sel_o( m2s4_sel ), + .s4_we_o( m2s4_we ), + .s4_cyc_o( m2s4_cyc ), + .s4_stb_o( m2s4_stb ), + .s4_ack_i( m2s4_ack ), + .s4_err_i( m2s4_err ), + .s4_rty_i( m2s4_rty ), + .s5_data_i( m2s5_data_i ), + .s5_data_o( m2s5_data_o ), + .s5_addr_o( m2s5_addr ), + .s5_sel_o( m2s5_sel ), + .s5_we_o( m2s5_we ), + .s5_cyc_o( m2s5_cyc ), + .s5_stb_o( m2s5_stb ), + .s5_ack_i( m2s5_ack ), + .s5_err_i( m2s5_err ), + .s5_rty_i( m2s5_rty ), + .s6_data_i( m2s6_data_i ), + .s6_data_o( m2s6_data_o ), + .s6_addr_o( m2s6_addr ), + .s6_sel_o( m2s6_sel ), + .s6_we_o( m2s6_we ), + .s6_cyc_o( m2s6_cyc ), + .s6_stb_o( m2s6_stb ), + .s6_ack_i( m2s6_ack ), + .s6_err_i( m2s6_err ), + .s6_rty_i( m2s6_rty ), + .s7_data_i( m2s7_data_i ), + .s7_data_o( m2s7_data_o ), + .s7_addr_o( m2s7_addr ), + .s7_sel_o( m2s7_sel ), + .s7_we_o( m2s7_we ), + .s7_cyc_o( m2s7_cyc ), + .s7_stb_o( m2s7_stb ), + .s7_ack_i( m2s7_ack ), + .s7_err_i( m2s7_err ), + .s7_rty_i( m2s7_rty ), + .s8_data_i( m2s8_data_i ), + .s8_data_o( m2s8_data_o ), + .s8_addr_o( m2s8_addr ), + .s8_sel_o( m2s8_sel ), + .s8_we_o( m2s8_we ), + .s8_cyc_o( m2s8_cyc ), + .s8_stb_o( m2s8_stb ), + .s8_ack_i( m2s8_ack ), + .s8_err_i( m2s8_err ), + .s8_rty_i( m2s8_rty ), + .s9_data_i( m2s9_data_i ), + .s9_data_o( m2s9_data_o ), + .s9_addr_o( m2s9_addr ), + .s9_sel_o( m2s9_sel ), + .s9_we_o( m2s9_we ), + .s9_cyc_o( m2s9_cyc ), + .s9_stb_o( m2s9_stb ), + .s9_ack_i( m2s9_ack ), + .s9_err_i( m2s9_err ), + .s9_rty_i( m2s9_rty ), + .s10_data_i( m2s10_data_i ), + .s10_data_o( m2s10_data_o ), + .s10_addr_o( m2s10_addr ), + .s10_sel_o( m2s10_sel ), + .s10_we_o( m2s10_we ), + .s10_cyc_o( m2s10_cyc ), + .s10_stb_o( m2s10_stb ), + .s10_ack_i( m2s10_ack ), + .s10_err_i( m2s10_err ), + .s10_rty_i( m2s10_rty ), + .s11_data_i( m2s11_data_i ), + .s11_data_o( m2s11_data_o ), + .s11_addr_o( m2s11_addr ), + .s11_sel_o( m2s11_sel ), + .s11_we_o( m2s11_we ), + .s11_cyc_o( m2s11_cyc ), + .s11_stb_o( m2s11_stb ), + .s11_ack_i( m2s11_ack ), + .s11_err_i( m2s11_err ), + .s11_rty_i( m2s11_rty ), + .s12_data_i( m2s12_data_i ), + .s12_data_o( m2s12_data_o ), + .s12_addr_o( m2s12_addr ), + .s12_sel_o( m2s12_sel ), + .s12_we_o( m2s12_we ), + .s12_cyc_o( m2s12_cyc ), + .s12_stb_o( m2s12_stb ), + .s12_ack_i( m2s12_ack ), + .s12_err_i( m2s12_err ), + .s12_rty_i( m2s12_rty ), + .s13_data_i( m2s13_data_i ), + .s13_data_o( m2s13_data_o ), + .s13_addr_o( m2s13_addr ), + .s13_sel_o( m2s13_sel ), + .s13_we_o( m2s13_we ), + .s13_cyc_o( m2s13_cyc ), + .s13_stb_o( m2s13_stb ), + .s13_ack_i( m2s13_ack ), + .s13_err_i( m2s13_err ), + .s13_rty_i( m2s13_rty ), + .s14_data_i( m2s14_data_i ), + .s14_data_o( m2s14_data_o ), + .s14_addr_o( m2s14_addr ), + .s14_sel_o( m2s14_sel ), + .s14_we_o( m2s14_we ), + .s14_cyc_o( m2s14_cyc ), + .s14_stb_o( m2s14_stb ), + .s14_ack_i( m2s14_ack ), + .s14_err_i( m2s14_err ), + .s14_rty_i( m2s14_rty ), + .s15_data_i( m2s15_data_i ), + .s15_data_o( m2s15_data_o ), + .s15_addr_o( m2s15_addr ), + .s15_sel_o( m2s15_sel ), + .s15_we_o( m2s15_we ), + .s15_cyc_o( m2s15_cyc ), + .s15_stb_o( m2s15_stb ), + .s15_ack_i( m2s15_ack ), + .s15_err_i( m2s15_err ), + .s15_rty_i( m2s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m3( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m3_data_i ), + .wb_data_o( m3_data_o ), + .wb_addr_i( m3_addr_i ), + .wb_sel_i( m3_sel_i ), + .wb_we_i( m3_we_i ), + .wb_cyc_i( m3_cyc_i ), + .wb_stb_i( m3_stb_i ), + .wb_ack_o( m3_ack_o ), + .wb_err_o( m3_err_o ), + .wb_rty_o( m3_rty_o ), + .s0_data_i( m3s0_data_i ), + .s0_data_o( m3s0_data_o ), + .s0_addr_o( m3s0_addr ), + .s0_sel_o( m3s0_sel ), + .s0_we_o( m3s0_we ), + .s0_cyc_o( m3s0_cyc ), + .s0_stb_o( m3s0_stb ), + .s0_ack_i( m3s0_ack ), + .s0_err_i( m3s0_err ), + .s0_rty_i( m3s0_rty ), + .s1_data_i( m3s1_data_i ), + .s1_data_o( m3s1_data_o ), + .s1_addr_o( m3s1_addr ), + .s1_sel_o( m3s1_sel ), + .s1_we_o( m3s1_we ), + .s1_cyc_o( m3s1_cyc ), + .s1_stb_o( m3s1_stb ), + .s1_ack_i( m3s1_ack ), + .s1_err_i( m3s1_err ), + .s1_rty_i( m3s1_rty ), + .s2_data_i( m3s2_data_i ), + .s2_data_o( m3s2_data_o ), + .s2_addr_o( m3s2_addr ), + .s2_sel_o( m3s2_sel ), + .s2_we_o( m3s2_we ), + .s2_cyc_o( m3s2_cyc ), + .s2_stb_o( m3s2_stb ), + .s2_ack_i( m3s2_ack ), + .s2_err_i( m3s2_err ), + .s2_rty_i( m3s2_rty ), + .s3_data_i( m3s3_data_i ), + .s3_data_o( m3s3_data_o ), + .s3_addr_o( m3s3_addr ), + .s3_sel_o( m3s3_sel ), + .s3_we_o( m3s3_we ), + .s3_cyc_o( m3s3_cyc ), + .s3_stb_o( m3s3_stb ), + .s3_ack_i( m3s3_ack ), + .s3_err_i( m3s3_err ), + .s3_rty_i( m3s3_rty ), + .s4_data_i( m3s4_data_i ), + .s4_data_o( m3s4_data_o ), + .s4_addr_o( m3s4_addr ), + .s4_sel_o( m3s4_sel ), + .s4_we_o( m3s4_we ), + .s4_cyc_o( m3s4_cyc ), + .s4_stb_o( m3s4_stb ), + .s4_ack_i( m3s4_ack ), + .s4_err_i( m3s4_err ), + .s4_rty_i( m3s4_rty ), + .s5_data_i( m3s5_data_i ), + .s5_data_o( m3s5_data_o ), + .s5_addr_o( m3s5_addr ), + .s5_sel_o( m3s5_sel ), + .s5_we_o( m3s5_we ), + .s5_cyc_o( m3s5_cyc ), + .s5_stb_o( m3s5_stb ), + .s5_ack_i( m3s5_ack ), + .s5_err_i( m3s5_err ), + .s5_rty_i( m3s5_rty ), + .s6_data_i( m3s6_data_i ), + .s6_data_o( m3s6_data_o ), + .s6_addr_o( m3s6_addr ), + .s6_sel_o( m3s6_sel ), + .s6_we_o( m3s6_we ), + .s6_cyc_o( m3s6_cyc ), + .s6_stb_o( m3s6_stb ), + .s6_ack_i( m3s6_ack ), + .s6_err_i( m3s6_err ), + .s6_rty_i( m3s6_rty ), + .s7_data_i( m3s7_data_i ), + .s7_data_o( m3s7_data_o ), + .s7_addr_o( m3s7_addr ), + .s7_sel_o( m3s7_sel ), + .s7_we_o( m3s7_we ), + .s7_cyc_o( m3s7_cyc ), + .s7_stb_o( m3s7_stb ), + .s7_ack_i( m3s7_ack ), + .s7_err_i( m3s7_err ), + .s7_rty_i( m3s7_rty ), + .s8_data_i( m3s8_data_i ), + .s8_data_o( m3s8_data_o ), + .s8_addr_o( m3s8_addr ), + .s8_sel_o( m3s8_sel ), + .s8_we_o( m3s8_we ), + .s8_cyc_o( m3s8_cyc ), + .s8_stb_o( m3s8_stb ), + .s8_ack_i( m3s8_ack ), + .s8_err_i( m3s8_err ), + .s8_rty_i( m3s8_rty ), + .s9_data_i( m3s9_data_i ), + .s9_data_o( m3s9_data_o ), + .s9_addr_o( m3s9_addr ), + .s9_sel_o( m3s9_sel ), + .s9_we_o( m3s9_we ), + .s9_cyc_o( m3s9_cyc ), + .s9_stb_o( m3s9_stb ), + .s9_ack_i( m3s9_ack ), + .s9_err_i( m3s9_err ), + .s9_rty_i( m3s9_rty ), + .s10_data_i( m3s10_data_i ), + .s10_data_o( m3s10_data_o ), + .s10_addr_o( m3s10_addr ), + .s10_sel_o( m3s10_sel ), + .s10_we_o( m3s10_we ), + .s10_cyc_o( m3s10_cyc ), + .s10_stb_o( m3s10_stb ), + .s10_ack_i( m3s10_ack ), + .s10_err_i( m3s10_err ), + .s10_rty_i( m3s10_rty ), + .s11_data_i( m3s11_data_i ), + .s11_data_o( m3s11_data_o ), + .s11_addr_o( m3s11_addr ), + .s11_sel_o( m3s11_sel ), + .s11_we_o( m3s11_we ), + .s11_cyc_o( m3s11_cyc ), + .s11_stb_o( m3s11_stb ), + .s11_ack_i( m3s11_ack ), + .s11_err_i( m3s11_err ), + .s11_rty_i( m3s11_rty ), + .s12_data_i( m3s12_data_i ), + .s12_data_o( m3s12_data_o ), + .s12_addr_o( m3s12_addr ), + .s12_sel_o( m3s12_sel ), + .s12_we_o( m3s12_we ), + .s12_cyc_o( m3s12_cyc ), + .s12_stb_o( m3s12_stb ), + .s12_ack_i( m3s12_ack ), + .s12_err_i( m3s12_err ), + .s12_rty_i( m3s12_rty ), + .s13_data_i( m3s13_data_i ), + .s13_data_o( m3s13_data_o ), + .s13_addr_o( m3s13_addr ), + .s13_sel_o( m3s13_sel ), + .s13_we_o( m3s13_we ), + .s13_cyc_o( m3s13_cyc ), + .s13_stb_o( m3s13_stb ), + .s13_ack_i( m3s13_ack ), + .s13_err_i( m3s13_err ), + .s13_rty_i( m3s13_rty ), + .s14_data_i( m3s14_data_i ), + .s14_data_o( m3s14_data_o ), + .s14_addr_o( m3s14_addr ), + .s14_sel_o( m3s14_sel ), + .s14_we_o( m3s14_we ), + .s14_cyc_o( m3s14_cyc ), + .s14_stb_o( m3s14_stb ), + .s14_ack_i( m3s14_ack ), + .s14_err_i( m3s14_err ), + .s14_rty_i( m3s14_rty ), + .s15_data_i( m3s15_data_i ), + .s15_data_o( m3s15_data_o ), + .s15_addr_o( m3s15_addr ), + .s15_sel_o( m3s15_sel ), + .s15_we_o( m3s15_we ), + .s15_cyc_o( m3s15_cyc ), + .s15_stb_o( m3s15_stb ), + .s15_ack_i( m3s15_ack ), + .s15_err_i( m3s15_err ), + .s15_rty_i( m3s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m4( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m4_data_i ), + .wb_data_o( m4_data_o ), + .wb_addr_i( m4_addr_i ), + .wb_sel_i( m4_sel_i ), + .wb_we_i( m4_we_i ), + .wb_cyc_i( m4_cyc_i ), + .wb_stb_i( m4_stb_i ), + .wb_ack_o( m4_ack_o ), + .wb_err_o( m4_err_o ), + .wb_rty_o( m4_rty_o ), + .s0_data_i( m4s0_data_i ), + .s0_data_o( m4s0_data_o ), + .s0_addr_o( m4s0_addr ), + .s0_sel_o( m4s0_sel ), + .s0_we_o( m4s0_we ), + .s0_cyc_o( m4s0_cyc ), + .s0_stb_o( m4s0_stb ), + .s0_ack_i( m4s0_ack ), + .s0_err_i( m4s0_err ), + .s0_rty_i( m4s0_rty ), + .s1_data_i( m4s1_data_i ), + .s1_data_o( m4s1_data_o ), + .s1_addr_o( m4s1_addr ), + .s1_sel_o( m4s1_sel ), + .s1_we_o( m4s1_we ), + .s1_cyc_o( m4s1_cyc ), + .s1_stb_o( m4s1_stb ), + .s1_ack_i( m4s1_ack ), + .s1_err_i( m4s1_err ), + .s1_rty_i( m4s1_rty ), + .s2_data_i( m4s2_data_i ), + .s2_data_o( m4s2_data_o ), + .s2_addr_o( m4s2_addr ), + .s2_sel_o( m4s2_sel ), + .s2_we_o( m4s2_we ), + .s2_cyc_o( m4s2_cyc ), + .s2_stb_o( m4s2_stb ), + .s2_ack_i( m4s2_ack ), + .s2_err_i( m4s2_err ), + .s2_rty_i( m4s2_rty ), + .s3_data_i( m4s3_data_i ), + .s3_data_o( m4s3_data_o ), + .s3_addr_o( m4s3_addr ), + .s3_sel_o( m4s3_sel ), + .s3_we_o( m4s3_we ), + .s3_cyc_o( m4s3_cyc ), + .s3_stb_o( m4s3_stb ), + .s3_ack_i( m4s3_ack ), + .s3_err_i( m4s3_err ), + .s3_rty_i( m4s3_rty ), + .s4_data_i( m4s4_data_i ), + .s4_data_o( m4s4_data_o ), + .s4_addr_o( m4s4_addr ), + .s4_sel_o( m4s4_sel ), + .s4_we_o( m4s4_we ), + .s4_cyc_o( m4s4_cyc ), + .s4_stb_o( m4s4_stb ), + .s4_ack_i( m4s4_ack ), + .s4_err_i( m4s4_err ), + .s4_rty_i( m4s4_rty ), + .s5_data_i( m4s5_data_i ), + .s5_data_o( m4s5_data_o ), + .s5_addr_o( m4s5_addr ), + .s5_sel_o( m4s5_sel ), + .s5_we_o( m4s5_we ), + .s5_cyc_o( m4s5_cyc ), + .s5_stb_o( m4s5_stb ), + .s5_ack_i( m4s5_ack ), + .s5_err_i( m4s5_err ), + .s5_rty_i( m4s5_rty ), + .s6_data_i( m4s6_data_i ), + .s6_data_o( m4s6_data_o ), + .s6_addr_o( m4s6_addr ), + .s6_sel_o( m4s6_sel ), + .s6_we_o( m4s6_we ), + .s6_cyc_o( m4s6_cyc ), + .s6_stb_o( m4s6_stb ), + .s6_ack_i( m4s6_ack ), + .s6_err_i( m4s6_err ), + .s6_rty_i( m4s6_rty ), + .s7_data_i( m4s7_data_i ), + .s7_data_o( m4s7_data_o ), + .s7_addr_o( m4s7_addr ), + .s7_sel_o( m4s7_sel ), + .s7_we_o( m4s7_we ), + .s7_cyc_o( m4s7_cyc ), + .s7_stb_o( m4s7_stb ), + .s7_ack_i( m4s7_ack ), + .s7_err_i( m4s7_err ), + .s7_rty_i( m4s7_rty ), + .s8_data_i( m4s8_data_i ), + .s8_data_o( m4s8_data_o ), + .s8_addr_o( m4s8_addr ), + .s8_sel_o( m4s8_sel ), + .s8_we_o( m4s8_we ), + .s8_cyc_o( m4s8_cyc ), + .s8_stb_o( m4s8_stb ), + .s8_ack_i( m4s8_ack ), + .s8_err_i( m4s8_err ), + .s8_rty_i( m4s8_rty ), + .s9_data_i( m4s9_data_i ), + .s9_data_o( m4s9_data_o ), + .s9_addr_o( m4s9_addr ), + .s9_sel_o( m4s9_sel ), + .s9_we_o( m4s9_we ), + .s9_cyc_o( m4s9_cyc ), + .s9_stb_o( m4s9_stb ), + .s9_ack_i( m4s9_ack ), + .s9_err_i( m4s9_err ), + .s9_rty_i( m4s9_rty ), + .s10_data_i( m4s10_data_i ), + .s10_data_o( m4s10_data_o ), + .s10_addr_o( m4s10_addr ), + .s10_sel_o( m4s10_sel ), + .s10_we_o( m4s10_we ), + .s10_cyc_o( m4s10_cyc ), + .s10_stb_o( m4s10_stb ), + .s10_ack_i( m4s10_ack ), + .s10_err_i( m4s10_err ), + .s10_rty_i( m4s10_rty ), + .s11_data_i( m4s11_data_i ), + .s11_data_o( m4s11_data_o ), + .s11_addr_o( m4s11_addr ), + .s11_sel_o( m4s11_sel ), + .s11_we_o( m4s11_we ), + .s11_cyc_o( m4s11_cyc ), + .s11_stb_o( m4s11_stb ), + .s11_ack_i( m4s11_ack ), + .s11_err_i( m4s11_err ), + .s11_rty_i( m4s11_rty ), + .s12_data_i( m4s12_data_i ), + .s12_data_o( m4s12_data_o ), + .s12_addr_o( m4s12_addr ), + .s12_sel_o( m4s12_sel ), + .s12_we_o( m4s12_we ), + .s12_cyc_o( m4s12_cyc ), + .s12_stb_o( m4s12_stb ), + .s12_ack_i( m4s12_ack ), + .s12_err_i( m4s12_err ), + .s12_rty_i( m4s12_rty ), + .s13_data_i( m4s13_data_i ), + .s13_data_o( m4s13_data_o ), + .s13_addr_o( m4s13_addr ), + .s13_sel_o( m4s13_sel ), + .s13_we_o( m4s13_we ), + .s13_cyc_o( m4s13_cyc ), + .s13_stb_o( m4s13_stb ), + .s13_ack_i( m4s13_ack ), + .s13_err_i( m4s13_err ), + .s13_rty_i( m4s13_rty ), + .s14_data_i( m4s14_data_i ), + .s14_data_o( m4s14_data_o ), + .s14_addr_o( m4s14_addr ), + .s14_sel_o( m4s14_sel ), + .s14_we_o( m4s14_we ), + .s14_cyc_o( m4s14_cyc ), + .s14_stb_o( m4s14_stb ), + .s14_ack_i( m4s14_ack ), + .s14_err_i( m4s14_err ), + .s14_rty_i( m4s14_rty ), + .s15_data_i( m4s15_data_i ), + .s15_data_o( m4s15_data_o ), + .s15_addr_o( m4s15_addr ), + .s15_sel_o( m4s15_sel ), + .s15_we_o( m4s15_we ), + .s15_cyc_o( m4s15_cyc ), + .s15_stb_o( m4s15_stb ), + .s15_ack_i( m4s15_ack ), + .s15_err_i( m4s15_err ), + .s15_rty_i( m4s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m5( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m5_data_i ), + .wb_data_o( m5_data_o ), + .wb_addr_i( m5_addr_i ), + .wb_sel_i( m5_sel_i ), + .wb_we_i( m5_we_i ), + .wb_cyc_i( m5_cyc_i ), + .wb_stb_i( m5_stb_i ), + .wb_ack_o( m5_ack_o ), + .wb_err_o( m5_err_o ), + .wb_rty_o( m5_rty_o ), + .s0_data_i( m5s0_data_i ), + .s0_data_o( m5s0_data_o ), + .s0_addr_o( m5s0_addr ), + .s0_sel_o( m5s0_sel ), + .s0_we_o( m5s0_we ), + .s0_cyc_o( m5s0_cyc ), + .s0_stb_o( m5s0_stb ), + .s0_ack_i( m5s0_ack ), + .s0_err_i( m5s0_err ), + .s0_rty_i( m5s0_rty ), + .s1_data_i( m5s1_data_i ), + .s1_data_o( m5s1_data_o ), + .s1_addr_o( m5s1_addr ), + .s1_sel_o( m5s1_sel ), + .s1_we_o( m5s1_we ), + .s1_cyc_o( m5s1_cyc ), + .s1_stb_o( m5s1_stb ), + .s1_ack_i( m5s1_ack ), + .s1_err_i( m5s1_err ), + .s1_rty_i( m5s1_rty ), + .s2_data_i( m5s2_data_i ), + .s2_data_o( m5s2_data_o ), + .s2_addr_o( m5s2_addr ), + .s2_sel_o( m5s2_sel ), + .s2_we_o( m5s2_we ), + .s2_cyc_o( m5s2_cyc ), + .s2_stb_o( m5s2_stb ), + .s2_ack_i( m5s2_ack ), + .s2_err_i( m5s2_err ), + .s2_rty_i( m5s2_rty ), + .s3_data_i( m5s3_data_i ), + .s3_data_o( m5s3_data_o ), + .s3_addr_o( m5s3_addr ), + .s3_sel_o( m5s3_sel ), + .s3_we_o( m5s3_we ), + .s3_cyc_o( m5s3_cyc ), + .s3_stb_o( m5s3_stb ), + .s3_ack_i( m5s3_ack ), + .s3_err_i( m5s3_err ), + .s3_rty_i( m5s3_rty ), + .s4_data_i( m5s4_data_i ), + .s4_data_o( m5s4_data_o ), + .s4_addr_o( m5s4_addr ), + .s4_sel_o( m5s4_sel ), + .s4_we_o( m5s4_we ), + .s4_cyc_o( m5s4_cyc ), + .s4_stb_o( m5s4_stb ), + .s4_ack_i( m5s4_ack ), + .s4_err_i( m5s4_err ), + .s4_rty_i( m5s4_rty ), + .s5_data_i( m5s5_data_i ), + .s5_data_o( m5s5_data_o ), + .s5_addr_o( m5s5_addr ), + .s5_sel_o( m5s5_sel ), + .s5_we_o( m5s5_we ), + .s5_cyc_o( m5s5_cyc ), + .s5_stb_o( m5s5_stb ), + .s5_ack_i( m5s5_ack ), + .s5_err_i( m5s5_err ), + .s5_rty_i( m5s5_rty ), + .s6_data_i( m5s6_data_i ), + .s6_data_o( m5s6_data_o ), + .s6_addr_o( m5s6_addr ), + .s6_sel_o( m5s6_sel ), + .s6_we_o( m5s6_we ), + .s6_cyc_o( m5s6_cyc ), + .s6_stb_o( m5s6_stb ), + .s6_ack_i( m5s6_ack ), + .s6_err_i( m5s6_err ), + .s6_rty_i( m5s6_rty ), + .s7_data_i( m5s7_data_i ), + .s7_data_o( m5s7_data_o ), + .s7_addr_o( m5s7_addr ), + .s7_sel_o( m5s7_sel ), + .s7_we_o( m5s7_we ), + .s7_cyc_o( m5s7_cyc ), + .s7_stb_o( m5s7_stb ), + .s7_ack_i( m5s7_ack ), + .s7_err_i( m5s7_err ), + .s7_rty_i( m5s7_rty ), + .s8_data_i( m5s8_data_i ), + .s8_data_o( m5s8_data_o ), + .s8_addr_o( m5s8_addr ), + .s8_sel_o( m5s8_sel ), + .s8_we_o( m5s8_we ), + .s8_cyc_o( m5s8_cyc ), + .s8_stb_o( m5s8_stb ), + .s8_ack_i( m5s8_ack ), + .s8_err_i( m5s8_err ), + .s8_rty_i( m5s8_rty ), + .s9_data_i( m5s9_data_i ), + .s9_data_o( m5s9_data_o ), + .s9_addr_o( m5s9_addr ), + .s9_sel_o( m5s9_sel ), + .s9_we_o( m5s9_we ), + .s9_cyc_o( m5s9_cyc ), + .s9_stb_o( m5s9_stb ), + .s9_ack_i( m5s9_ack ), + .s9_err_i( m5s9_err ), + .s9_rty_i( m5s9_rty ), + .s10_data_i( m5s10_data_i ), + .s10_data_o( m5s10_data_o ), + .s10_addr_o( m5s10_addr ), + .s10_sel_o( m5s10_sel ), + .s10_we_o( m5s10_we ), + .s10_cyc_o( m5s10_cyc ), + .s10_stb_o( m5s10_stb ), + .s10_ack_i( m5s10_ack ), + .s10_err_i( m5s10_err ), + .s10_rty_i( m5s10_rty ), + .s11_data_i( m5s11_data_i ), + .s11_data_o( m5s11_data_o ), + .s11_addr_o( m5s11_addr ), + .s11_sel_o( m5s11_sel ), + .s11_we_o( m5s11_we ), + .s11_cyc_o( m5s11_cyc ), + .s11_stb_o( m5s11_stb ), + .s11_ack_i( m5s11_ack ), + .s11_err_i( m5s11_err ), + .s11_rty_i( m5s11_rty ), + .s12_data_i( m5s12_data_i ), + .s12_data_o( m5s12_data_o ), + .s12_addr_o( m5s12_addr ), + .s12_sel_o( m5s12_sel ), + .s12_we_o( m5s12_we ), + .s12_cyc_o( m5s12_cyc ), + .s12_stb_o( m5s12_stb ), + .s12_ack_i( m5s12_ack ), + .s12_err_i( m5s12_err ), + .s12_rty_i( m5s12_rty ), + .s13_data_i( m5s13_data_i ), + .s13_data_o( m5s13_data_o ), + .s13_addr_o( m5s13_addr ), + .s13_sel_o( m5s13_sel ), + .s13_we_o( m5s13_we ), + .s13_cyc_o( m5s13_cyc ), + .s13_stb_o( m5s13_stb ), + .s13_ack_i( m5s13_ack ), + .s13_err_i( m5s13_err ), + .s13_rty_i( m5s13_rty ), + .s14_data_i( m5s14_data_i ), + .s14_data_o( m5s14_data_o ), + .s14_addr_o( m5s14_addr ), + .s14_sel_o( m5s14_sel ), + .s14_we_o( m5s14_we ), + .s14_cyc_o( m5s14_cyc ), + .s14_stb_o( m5s14_stb ), + .s14_ack_i( m5s14_ack ), + .s14_err_i( m5s14_err ), + .s14_rty_i( m5s14_rty ), + .s15_data_i( m5s15_data_i ), + .s15_data_o( m5s15_data_o ), + .s15_addr_o( m5s15_addr ), + .s15_sel_o( m5s15_sel ), + .s15_we_o( m5s15_we ), + .s15_cyc_o( m5s15_cyc ), + .s15_stb_o( m5s15_stb ), + .s15_ack_i( m5s15_ack ), + .s15_err_i( m5s15_err ), + .s15_rty_i( m5s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m6( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m6_data_i ), + .wb_data_o( m6_data_o ), + .wb_addr_i( m6_addr_i ), + .wb_sel_i( m6_sel_i ), + .wb_we_i( m6_we_i ), + .wb_cyc_i( m6_cyc_i ), + .wb_stb_i( m6_stb_i ), + .wb_ack_o( m6_ack_o ), + .wb_err_o( m6_err_o ), + .wb_rty_o( m6_rty_o ), + .s0_data_i( m6s0_data_i ), + .s0_data_o( m6s0_data_o ), + .s0_addr_o( m6s0_addr ), + .s0_sel_o( m6s0_sel ), + .s0_we_o( m6s0_we ), + .s0_cyc_o( m6s0_cyc ), + .s0_stb_o( m6s0_stb ), + .s0_ack_i( m6s0_ack ), + .s0_err_i( m6s0_err ), + .s0_rty_i( m6s0_rty ), + .s1_data_i( m6s1_data_i ), + .s1_data_o( m6s1_data_o ), + .s1_addr_o( m6s1_addr ), + .s1_sel_o( m6s1_sel ), + .s1_we_o( m6s1_we ), + .s1_cyc_o( m6s1_cyc ), + .s1_stb_o( m6s1_stb ), + .s1_ack_i( m6s1_ack ), + .s1_err_i( m6s1_err ), + .s1_rty_i( m6s1_rty ), + .s2_data_i( m6s2_data_i ), + .s2_data_o( m6s2_data_o ), + .s2_addr_o( m6s2_addr ), + .s2_sel_o( m6s2_sel ), + .s2_we_o( m6s2_we ), + .s2_cyc_o( m6s2_cyc ), + .s2_stb_o( m6s2_stb ), + .s2_ack_i( m6s2_ack ), + .s2_err_i( m6s2_err ), + .s2_rty_i( m6s2_rty ), + .s3_data_i( m6s3_data_i ), + .s3_data_o( m6s3_data_o ), + .s3_addr_o( m6s3_addr ), + .s3_sel_o( m6s3_sel ), + .s3_we_o( m6s3_we ), + .s3_cyc_o( m6s3_cyc ), + .s3_stb_o( m6s3_stb ), + .s3_ack_i( m6s3_ack ), + .s3_err_i( m6s3_err ), + .s3_rty_i( m6s3_rty ), + .s4_data_i( m6s4_data_i ), + .s4_data_o( m6s4_data_o ), + .s4_addr_o( m6s4_addr ), + .s4_sel_o( m6s4_sel ), + .s4_we_o( m6s4_we ), + .s4_cyc_o( m6s4_cyc ), + .s4_stb_o( m6s4_stb ), + .s4_ack_i( m6s4_ack ), + .s4_err_i( m6s4_err ), + .s4_rty_i( m6s4_rty ), + .s5_data_i( m6s5_data_i ), + .s5_data_o( m6s5_data_o ), + .s5_addr_o( m6s5_addr ), + .s5_sel_o( m6s5_sel ), + .s5_we_o( m6s5_we ), + .s5_cyc_o( m6s5_cyc ), + .s5_stb_o( m6s5_stb ), + .s5_ack_i( m6s5_ack ), + .s5_err_i( m6s5_err ), + .s5_rty_i( m6s5_rty ), + .s6_data_i( m6s6_data_i ), + .s6_data_o( m6s6_data_o ), + .s6_addr_o( m6s6_addr ), + .s6_sel_o( m6s6_sel ), + .s6_we_o( m6s6_we ), + .s6_cyc_o( m6s6_cyc ), + .s6_stb_o( m6s6_stb ), + .s6_ack_i( m6s6_ack ), + .s6_err_i( m6s6_err ), + .s6_rty_i( m6s6_rty ), + .s7_data_i( m6s7_data_i ), + .s7_data_o( m6s7_data_o ), + .s7_addr_o( m6s7_addr ), + .s7_sel_o( m6s7_sel ), + .s7_we_o( m6s7_we ), + .s7_cyc_o( m6s7_cyc ), + .s7_stb_o( m6s7_stb ), + .s7_ack_i( m6s7_ack ), + .s7_err_i( m6s7_err ), + .s7_rty_i( m6s7_rty ), + .s8_data_i( m6s8_data_i ), + .s8_data_o( m6s8_data_o ), + .s8_addr_o( m6s8_addr ), + .s8_sel_o( m6s8_sel ), + .s8_we_o( m6s8_we ), + .s8_cyc_o( m6s8_cyc ), + .s8_stb_o( m6s8_stb ), + .s8_ack_i( m6s8_ack ), + .s8_err_i( m6s8_err ), + .s8_rty_i( m6s8_rty ), + .s9_data_i( m6s9_data_i ), + .s9_data_o( m6s9_data_o ), + .s9_addr_o( m6s9_addr ), + .s9_sel_o( m6s9_sel ), + .s9_we_o( m6s9_we ), + .s9_cyc_o( m6s9_cyc ), + .s9_stb_o( m6s9_stb ), + .s9_ack_i( m6s9_ack ), + .s9_err_i( m6s9_err ), + .s9_rty_i( m6s9_rty ), + .s10_data_i( m6s10_data_i ), + .s10_data_o( m6s10_data_o ), + .s10_addr_o( m6s10_addr ), + .s10_sel_o( m6s10_sel ), + .s10_we_o( m6s10_we ), + .s10_cyc_o( m6s10_cyc ), + .s10_stb_o( m6s10_stb ), + .s10_ack_i( m6s10_ack ), + .s10_err_i( m6s10_err ), + .s10_rty_i( m6s10_rty ), + .s11_data_i( m6s11_data_i ), + .s11_data_o( m6s11_data_o ), + .s11_addr_o( m6s11_addr ), + .s11_sel_o( m6s11_sel ), + .s11_we_o( m6s11_we ), + .s11_cyc_o( m6s11_cyc ), + .s11_stb_o( m6s11_stb ), + .s11_ack_i( m6s11_ack ), + .s11_err_i( m6s11_err ), + .s11_rty_i( m6s11_rty ), + .s12_data_i( m6s12_data_i ), + .s12_data_o( m6s12_data_o ), + .s12_addr_o( m6s12_addr ), + .s12_sel_o( m6s12_sel ), + .s12_we_o( m6s12_we ), + .s12_cyc_o( m6s12_cyc ), + .s12_stb_o( m6s12_stb ), + .s12_ack_i( m6s12_ack ), + .s12_err_i( m6s12_err ), + .s12_rty_i( m6s12_rty ), + .s13_data_i( m6s13_data_i ), + .s13_data_o( m6s13_data_o ), + .s13_addr_o( m6s13_addr ), + .s13_sel_o( m6s13_sel ), + .s13_we_o( m6s13_we ), + .s13_cyc_o( m6s13_cyc ), + .s13_stb_o( m6s13_stb ), + .s13_ack_i( m6s13_ack ), + .s13_err_i( m6s13_err ), + .s13_rty_i( m6s13_rty ), + .s14_data_i( m6s14_data_i ), + .s14_data_o( m6s14_data_o ), + .s14_addr_o( m6s14_addr ), + .s14_sel_o( m6s14_sel ), + .s14_we_o( m6s14_we ), + .s14_cyc_o( m6s14_cyc ), + .s14_stb_o( m6s14_stb ), + .s14_ack_i( m6s14_ack ), + .s14_err_i( m6s14_err ), + .s14_rty_i( m6s14_rty ), + .s15_data_i( m6s15_data_i ), + .s15_data_o( m6s15_data_o ), + .s15_addr_o( m6s15_addr ), + .s15_sel_o( m6s15_sel ), + .s15_we_o( m6s15_we ), + .s15_cyc_o( m6s15_cyc ), + .s15_stb_o( m6s15_stb ), + .s15_ack_i( m6s15_ack ), + .s15_err_i( m6s15_err ), + .s15_rty_i( m6s15_rty ) + ); + +wb_conmax_master_if #(aw,dw,sw) m7( + .clk_i( clk_i ), + .rst_i( rst_i ), + .wb_data_i( m7_data_i ), + .wb_data_o( m7_data_o ), + .wb_addr_i( m7_addr_i ), + .wb_sel_i( m7_sel_i ), + .wb_we_i( m7_we_i ), + .wb_cyc_i( m7_cyc_i ), + .wb_stb_i( m7_stb_i ), + .wb_ack_o( m7_ack_o ), + .wb_err_o( m7_err_o ), + .wb_rty_o( m7_rty_o ), + .s0_data_i( m7s0_data_i ), + .s0_data_o( m7s0_data_o ), + .s0_addr_o( m7s0_addr ), + .s0_sel_o( m7s0_sel ), + .s0_we_o( m7s0_we ), + .s0_cyc_o( m7s0_cyc ), + .s0_stb_o( m7s0_stb ), + .s0_ack_i( m7s0_ack ), + .s0_err_i( m7s0_err ), + .s0_rty_i( m7s0_rty ), + .s1_data_i( m7s1_data_i ), + .s1_data_o( m7s1_data_o ), + .s1_addr_o( m7s1_addr ), + .s1_sel_o( m7s1_sel ), + .s1_we_o( m7s1_we ), + .s1_cyc_o( m7s1_cyc ), + .s1_stb_o( m7s1_stb ), + .s1_ack_i( m7s1_ack ), + .s1_err_i( m7s1_err ), + .s1_rty_i( m7s1_rty ), + .s2_data_i( m7s2_data_i ), + .s2_data_o( m7s2_data_o ), + .s2_addr_o( m7s2_addr ), + .s2_sel_o( m7s2_sel ), + .s2_we_o( m7s2_we ), + .s2_cyc_o( m7s2_cyc ), + .s2_stb_o( m7s2_stb ), + .s2_ack_i( m7s2_ack ), + .s2_err_i( m7s2_err ), + .s2_rty_i( m7s2_rty ), + .s3_data_i( m7s3_data_i ), + .s3_data_o( m7s3_data_o ), + .s3_addr_o( m7s3_addr ), + .s3_sel_o( m7s3_sel ), + .s3_we_o( m7s3_we ), + .s3_cyc_o( m7s3_cyc ), + .s3_stb_o( m7s3_stb ), + .s3_ack_i( m7s3_ack ), + .s3_err_i( m7s3_err ), + .s3_rty_i( m7s3_rty ), + .s4_data_i( m7s4_data_i ), + .s4_data_o( m7s4_data_o ), + .s4_addr_o( m7s4_addr ), + .s4_sel_o( m7s4_sel ), + .s4_we_o( m7s4_we ), + .s4_cyc_o( m7s4_cyc ), + .s4_stb_o( m7s4_stb ), + .s4_ack_i( m7s4_ack ), + .s4_err_i( m7s4_err ), + .s4_rty_i( m7s4_rty ), + .s5_data_i( m7s5_data_i ), + .s5_data_o( m7s5_data_o ), + .s5_addr_o( m7s5_addr ), + .s5_sel_o( m7s5_sel ), + .s5_we_o( m7s5_we ), + .s5_cyc_o( m7s5_cyc ), + .s5_stb_o( m7s5_stb ), + .s5_ack_i( m7s5_ack ), + .s5_err_i( m7s5_err ), + .s5_rty_i( m7s5_rty ), + .s6_data_i( m7s6_data_i ), + .s6_data_o( m7s6_data_o ), + .s6_addr_o( m7s6_addr ), + .s6_sel_o( m7s6_sel ), + .s6_we_o( m7s6_we ), + .s6_cyc_o( m7s6_cyc ), + .s6_stb_o( m7s6_stb ), + .s6_ack_i( m7s6_ack ), + .s6_err_i( m7s6_err ), + .s6_rty_i( m7s6_rty ), + .s7_data_i( m7s7_data_i ), + .s7_data_o( m7s7_data_o ), + .s7_addr_o( m7s7_addr ), + .s7_sel_o( m7s7_sel ), + .s7_we_o( m7s7_we ), + .s7_cyc_o( m7s7_cyc ), + .s7_stb_o( m7s7_stb ), + .s7_ack_i( m7s7_ack ), + .s7_err_i( m7s7_err ), + .s7_rty_i( m7s7_rty ), + .s8_data_i( m7s8_data_i ), + .s8_data_o( m7s8_data_o ), + .s8_addr_o( m7s8_addr ), + .s8_sel_o( m7s8_sel ), + .s8_we_o( m7s8_we ), + .s8_cyc_o( m7s8_cyc ), + .s8_stb_o( m7s8_stb ), + .s8_ack_i( m7s8_ack ), + .s8_err_i( m7s8_err ), + .s8_rty_i( m7s8_rty ), + .s9_data_i( m7s9_data_i ), + .s9_data_o( m7s9_data_o ), + .s9_addr_o( m7s9_addr ), + .s9_sel_o( m7s9_sel ), + .s9_we_o( m7s9_we ), + .s9_cyc_o( m7s9_cyc ), + .s9_stb_o( m7s9_stb ), + .s9_ack_i( m7s9_ack ), + .s9_err_i( m7s9_err ), + .s9_rty_i( m7s9_rty ), + .s10_data_i( m7s10_data_i ), + .s10_data_o( m7s10_data_o ), + .s10_addr_o( m7s10_addr ), + .s10_sel_o( m7s10_sel ), + .s10_we_o( m7s10_we ), + .s10_cyc_o( m7s10_cyc ), + .s10_stb_o( m7s10_stb ), + .s10_ack_i( m7s10_ack ), + .s10_err_i( m7s10_err ), + .s10_rty_i( m7s10_rty ), + .s11_data_i( m7s11_data_i ), + .s11_data_o( m7s11_data_o ), + .s11_addr_o( m7s11_addr ), + .s11_sel_o( m7s11_sel ), + .s11_we_o( m7s11_we ), + .s11_cyc_o( m7s11_cyc ), + .s11_stb_o( m7s11_stb ), + .s11_ack_i( m7s11_ack ), + .s11_err_i( m7s11_err ), + .s11_rty_i( m7s11_rty ), + .s12_data_i( m7s12_data_i ), + .s12_data_o( m7s12_data_o ), + .s12_addr_o( m7s12_addr ), + .s12_sel_o( m7s12_sel ), + .s12_we_o( m7s12_we ), + .s12_cyc_o( m7s12_cyc ), + .s12_stb_o( m7s12_stb ), + .s12_ack_i( m7s12_ack ), + .s12_err_i( m7s12_err ), + .s12_rty_i( m7s12_rty ), + .s13_data_i( m7s13_data_i ), + .s13_data_o( m7s13_data_o ), + .s13_addr_o( m7s13_addr ), + .s13_sel_o( m7s13_sel ), + .s13_we_o( m7s13_we ), + .s13_cyc_o( m7s13_cyc ), + .s13_stb_o( m7s13_stb ), + .s13_ack_i( m7s13_ack ), + .s13_err_i( m7s13_err ), + .s13_rty_i( m7s13_rty ), + .s14_data_i( m7s14_data_i ), + .s14_data_o( m7s14_data_o ), + .s14_addr_o( m7s14_addr ), + .s14_sel_o( m7s14_sel ), + .s14_we_o( m7s14_we ), + .s14_cyc_o( m7s14_cyc ), + .s14_stb_o( m7s14_stb ), + .s14_ack_i( m7s14_ack ), + .s14_err_i( m7s14_err ), + .s14_rty_i( m7s14_rty ), + .s15_data_i( m7s15_data_i ), + .s15_data_o( m7s15_data_o ), + .s15_addr_o( m7s15_addr ), + .s15_sel_o( m7s15_sel ), + .s15_we_o( m7s15_we ), + .s15_cyc_o( m7s15_cyc ), + .s15_stb_o( m7s15_stb ), + .s15_ack_i( m7s15_ack ), + .s15_err_i( m7s15_err ), + .s15_rty_i( m7s15_rty ) + ); + +//////////////////////////////////////////////////////////////////// +// +// Slave Interfaces +// + +wb_conmax_slave_if #(pri_sel0,aw,dw,sw) s0( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf0 ), + .wb_data_i( s0_data_i ), + .wb_data_o( s0_data_o ), + .wb_addr_o( s0_addr_o ), + .wb_sel_o( s0_sel_o ), + .wb_we_o( s0_we_o ), + .wb_cyc_o( s0_cyc_o ), + .wb_stb_o( s0_stb_o ), + .wb_ack_i( s0_ack_i ), + .wb_err_i( s0_err_i ), + .wb_rty_i( s0_rty_i ), + .m0_data_i( m0s0_data_o ), + .m0_data_o( m0s0_data_i ), + .m0_addr_i( m0s0_addr ), + .m0_sel_i( m0s0_sel ), + .m0_we_i( m0s0_we ), + .m0_cyc_i( m0s0_cyc ), + .m0_stb_i( m0s0_stb ), + .m0_ack_o( m0s0_ack ), + .m0_err_o( m0s0_err ), + .m0_rty_o( m0s0_rty ), + .m1_data_i( m1s0_data_o ), + .m1_data_o( m1s0_data_i ), + .m1_addr_i( m1s0_addr ), + .m1_sel_i( m1s0_sel ), + .m1_we_i( m1s0_we ), + .m1_cyc_i( m1s0_cyc ), + .m1_stb_i( m1s0_stb ), + .m1_ack_o( m1s0_ack ), + .m1_err_o( m1s0_err ), + .m1_rty_o( m1s0_rty ), + .m2_data_i( m2s0_data_o ), + .m2_data_o( m2s0_data_i ), + .m2_addr_i( m2s0_addr ), + .m2_sel_i( m2s0_sel ), + .m2_we_i( m2s0_we ), + .m2_cyc_i( m2s0_cyc ), + .m2_stb_i( m2s0_stb ), + .m2_ack_o( m2s0_ack ), + .m2_err_o( m2s0_err ), + .m2_rty_o( m2s0_rty ), + .m3_data_i( m3s0_data_o ), + .m3_data_o( m3s0_data_i ), + .m3_addr_i( m3s0_addr ), + .m3_sel_i( m3s0_sel ), + .m3_we_i( m3s0_we ), + .m3_cyc_i( m3s0_cyc ), + .m3_stb_i( m3s0_stb ), + .m3_ack_o( m3s0_ack ), + .m3_err_o( m3s0_err ), + .m3_rty_o( m3s0_rty ), + .m4_data_i( m4s0_data_o ), + .m4_data_o( m4s0_data_i ), + .m4_addr_i( m4s0_addr ), + .m4_sel_i( m4s0_sel ), + .m4_we_i( m4s0_we ), + .m4_cyc_i( m4s0_cyc ), + .m4_stb_i( m4s0_stb ), + .m4_ack_o( m4s0_ack ), + .m4_err_o( m4s0_err ), + .m4_rty_o( m4s0_rty ), + .m5_data_i( m5s0_data_o ), + .m5_data_o( m5s0_data_i ), + .m5_addr_i( m5s0_addr ), + .m5_sel_i( m5s0_sel ), + .m5_we_i( m5s0_we ), + .m5_cyc_i( m5s0_cyc ), + .m5_stb_i( m5s0_stb ), + .m5_ack_o( m5s0_ack ), + .m5_err_o( m5s0_err ), + .m5_rty_o( m5s0_rty ), + .m6_data_i( m6s0_data_o ), + .m6_data_o( m6s0_data_i ), + .m6_addr_i( m6s0_addr ), + .m6_sel_i( m6s0_sel ), + .m6_we_i( m6s0_we ), + .m6_cyc_i( m6s0_cyc ), + .m6_stb_i( m6s0_stb ), + .m6_ack_o( m6s0_ack ), + .m6_err_o( m6s0_err ), + .m6_rty_o( m6s0_rty ), + .m7_data_i( m7s0_data_o ), + .m7_data_o( m7s0_data_i ), + .m7_addr_i( m7s0_addr ), + .m7_sel_i( m7s0_sel ), + .m7_we_i( m7s0_we ), + .m7_cyc_i( m7s0_cyc ), + .m7_stb_i( m7s0_stb ), + .m7_ack_o( m7s0_ack ), + .m7_err_o( m7s0_err ), + .m7_rty_o( m7s0_rty ) + ); + +wb_conmax_slave_if #(pri_sel1,aw,dw,sw) s1( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf1 ), + .wb_data_i( s1_data_i ), + .wb_data_o( s1_data_o ), + .wb_addr_o( s1_addr_o ), + .wb_sel_o( s1_sel_o ), + .wb_we_o( s1_we_o ), + .wb_cyc_o( s1_cyc_o ), + .wb_stb_o( s1_stb_o ), + .wb_ack_i( s1_ack_i ), + .wb_err_i( s1_err_i ), + .wb_rty_i( s1_rty_i ), + .m0_data_i( m0s1_data_o ), + .m0_data_o( m0s1_data_i ), + .m0_addr_i( m0s1_addr ), + .m0_sel_i( m0s1_sel ), + .m0_we_i( m0s1_we ), + .m0_cyc_i( m0s1_cyc ), + .m0_stb_i( m0s1_stb ), + .m0_ack_o( m0s1_ack ), + .m0_err_o( m0s1_err ), + .m0_rty_o( m0s1_rty ), + .m1_data_i( m1s1_data_o ), + .m1_data_o( m1s1_data_i ), + .m1_addr_i( m1s1_addr ), + .m1_sel_i( m1s1_sel ), + .m1_we_i( m1s1_we ), + .m1_cyc_i( m1s1_cyc ), + .m1_stb_i( m1s1_stb ), + .m1_ack_o( m1s1_ack ), + .m1_err_o( m1s1_err ), + .m1_rty_o( m1s1_rty ), + .m2_data_i( m2s1_data_o ), + .m2_data_o( m2s1_data_i ), + .m2_addr_i( m2s1_addr ), + .m2_sel_i( m2s1_sel ), + .m2_we_i( m2s1_we ), + .m2_cyc_i( m2s1_cyc ), + .m2_stb_i( m2s1_stb ), + .m2_ack_o( m2s1_ack ), + .m2_err_o( m2s1_err ), + .m2_rty_o( m2s1_rty ), + .m3_data_i( m3s1_data_o ), + .m3_data_o( m3s1_data_i ), + .m3_addr_i( m3s1_addr ), + .m3_sel_i( m3s1_sel ), + .m3_we_i( m3s1_we ), + .m3_cyc_i( m3s1_cyc ), + .m3_stb_i( m3s1_stb ), + .m3_ack_o( m3s1_ack ), + .m3_err_o( m3s1_err ), + .m3_rty_o( m3s1_rty ), + .m4_data_i( m4s1_data_o ), + .m4_data_o( m4s1_data_i ), + .m4_addr_i( m4s1_addr ), + .m4_sel_i( m4s1_sel ), + .m4_we_i( m4s1_we ), + .m4_cyc_i( m4s1_cyc ), + .m4_stb_i( m4s1_stb ), + .m4_ack_o( m4s1_ack ), + .m4_err_o( m4s1_err ), + .m4_rty_o( m4s1_rty ), + .m5_data_i( m5s1_data_o ), + .m5_data_o( m5s1_data_i ), + .m5_addr_i( m5s1_addr ), + .m5_sel_i( m5s1_sel ), + .m5_we_i( m5s1_we ), + .m5_cyc_i( m5s1_cyc ), + .m5_stb_i( m5s1_stb ), + .m5_ack_o( m5s1_ack ), + .m5_err_o( m5s1_err ), + .m5_rty_o( m5s1_rty ), + .m6_data_i( m6s1_data_o ), + .m6_data_o( m6s1_data_i ), + .m6_addr_i( m6s1_addr ), + .m6_sel_i( m6s1_sel ), + .m6_we_i( m6s1_we ), + .m6_cyc_i( m6s1_cyc ), + .m6_stb_i( m6s1_stb ), + .m6_ack_o( m6s1_ack ), + .m6_err_o( m6s1_err ), + .m6_rty_o( m6s1_rty ), + .m7_data_i( m7s1_data_o ), + .m7_data_o( m7s1_data_i ), + .m7_addr_i( m7s1_addr ), + .m7_sel_i( m7s1_sel ), + .m7_we_i( m7s1_we ), + .m7_cyc_i( m7s1_cyc ), + .m7_stb_i( m7s1_stb ), + .m7_ack_o( m7s1_ack ), + .m7_err_o( m7s1_err ), + .m7_rty_o( m7s1_rty ) + ); + +wb_conmax_slave_if #(pri_sel2,aw,dw,sw) s2( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf2 ), + .wb_data_i( s2_data_i ), + .wb_data_o( s2_data_o ), + .wb_addr_o( s2_addr_o ), + .wb_sel_o( s2_sel_o ), + .wb_we_o( s2_we_o ), + .wb_cyc_o( s2_cyc_o ), + .wb_stb_o( s2_stb_o ), + .wb_ack_i( s2_ack_i ), + .wb_err_i( s2_err_i ), + .wb_rty_i( s2_rty_i ), + .m0_data_i( m0s2_data_o ), + .m0_data_o( m0s2_data_i ), + .m0_addr_i( m0s2_addr ), + .m0_sel_i( m0s2_sel ), + .m0_we_i( m0s2_we ), + .m0_cyc_i( m0s2_cyc ), + .m0_stb_i( m0s2_stb ), + .m0_ack_o( m0s2_ack ), + .m0_err_o( m0s2_err ), + .m0_rty_o( m0s2_rty ), + .m1_data_i( m1s2_data_o ), + .m1_data_o( m1s2_data_i ), + .m1_addr_i( m1s2_addr ), + .m1_sel_i( m1s2_sel ), + .m1_we_i( m1s2_we ), + .m1_cyc_i( m1s2_cyc ), + .m1_stb_i( m1s2_stb ), + .m1_ack_o( m1s2_ack ), + .m1_err_o( m1s2_err ), + .m1_rty_o( m1s2_rty ), + .m2_data_i( m2s2_data_o ), + .m2_data_o( m2s2_data_i ), + .m2_addr_i( m2s2_addr ), + .m2_sel_i( m2s2_sel ), + .m2_we_i( m2s2_we ), + .m2_cyc_i( m2s2_cyc ), + .m2_stb_i( m2s2_stb ), + .m2_ack_o( m2s2_ack ), + .m2_err_o( m2s2_err ), + .m2_rty_o( m2s2_rty ), + .m3_data_i( m3s2_data_o ), + .m3_data_o( m3s2_data_i ), + .m3_addr_i( m3s2_addr ), + .m3_sel_i( m3s2_sel ), + .m3_we_i( m3s2_we ), + .m3_cyc_i( m3s2_cyc ), + .m3_stb_i( m3s2_stb ), + .m3_ack_o( m3s2_ack ), + .m3_err_o( m3s2_err ), + .m3_rty_o( m3s2_rty ), + .m4_data_i( m4s2_data_o ), + .m4_data_o( m4s2_data_i ), + .m4_addr_i( m4s2_addr ), + .m4_sel_i( m4s2_sel ), + .m4_we_i( m4s2_we ), + .m4_cyc_i( m4s2_cyc ), + .m4_stb_i( m4s2_stb ), + .m4_ack_o( m4s2_ack ), + .m4_err_o( m4s2_err ), + .m4_rty_o( m4s2_rty ), + .m5_data_i( m5s2_data_o ), + .m5_data_o( m5s2_data_i ), + .m5_addr_i( m5s2_addr ), + .m5_sel_i( m5s2_sel ), + .m5_we_i( m5s2_we ), + .m5_cyc_i( m5s2_cyc ), + .m5_stb_i( m5s2_stb ), + .m5_ack_o( m5s2_ack ), + .m5_err_o( m5s2_err ), + .m5_rty_o( m5s2_rty ), + .m6_data_i( m6s2_data_o ), + .m6_data_o( m6s2_data_i ), + .m6_addr_i( m6s2_addr ), + .m6_sel_i( m6s2_sel ), + .m6_we_i( m6s2_we ), + .m6_cyc_i( m6s2_cyc ), + .m6_stb_i( m6s2_stb ), + .m6_ack_o( m6s2_ack ), + .m6_err_o( m6s2_err ), + .m6_rty_o( m6s2_rty ), + .m7_data_i( m7s2_data_o ), + .m7_data_o( m7s2_data_i ), + .m7_addr_i( m7s2_addr ), + .m7_sel_i( m7s2_sel ), + .m7_we_i( m7s2_we ), + .m7_cyc_i( m7s2_cyc ), + .m7_stb_i( m7s2_stb ), + .m7_ack_o( m7s2_ack ), + .m7_err_o( m7s2_err ), + .m7_rty_o( m7s2_rty ) + ); + +wb_conmax_slave_if #(pri_sel3,aw,dw,sw) s3( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf3 ), + .wb_data_i( s3_data_i ), + .wb_data_o( s3_data_o ), + .wb_addr_o( s3_addr_o ), + .wb_sel_o( s3_sel_o ), + .wb_we_o( s3_we_o ), + .wb_cyc_o( s3_cyc_o ), + .wb_stb_o( s3_stb_o ), + .wb_ack_i( s3_ack_i ), + .wb_err_i( s3_err_i ), + .wb_rty_i( s3_rty_i ), + .m0_data_i( m0s3_data_o ), + .m0_data_o( m0s3_data_i ), + .m0_addr_i( m0s3_addr ), + .m0_sel_i( m0s3_sel ), + .m0_we_i( m0s3_we ), + .m0_cyc_i( m0s3_cyc ), + .m0_stb_i( m0s3_stb ), + .m0_ack_o( m0s3_ack ), + .m0_err_o( m0s3_err ), + .m0_rty_o( m0s3_rty ), + .m1_data_i( m1s3_data_o ), + .m1_data_o( m1s3_data_i ), + .m1_addr_i( m1s3_addr ), + .m1_sel_i( m1s3_sel ), + .m1_we_i( m1s3_we ), + .m1_cyc_i( m1s3_cyc ), + .m1_stb_i( m1s3_stb ), + .m1_ack_o( m1s3_ack ), + .m1_err_o( m1s3_err ), + .m1_rty_o( m1s3_rty ), + .m2_data_i( m2s3_data_o ), + .m2_data_o( m2s3_data_i ), + .m2_addr_i( m2s3_addr ), + .m2_sel_i( m2s3_sel ), + .m2_we_i( m2s3_we ), + .m2_cyc_i( m2s3_cyc ), + .m2_stb_i( m2s3_stb ), + .m2_ack_o( m2s3_ack ), + .m2_err_o( m2s3_err ), + .m2_rty_o( m2s3_rty ), + .m3_data_i( m3s3_data_o ), + .m3_data_o( m3s3_data_i ), + .m3_addr_i( m3s3_addr ), + .m3_sel_i( m3s3_sel ), + .m3_we_i( m3s3_we ), + .m3_cyc_i( m3s3_cyc ), + .m3_stb_i( m3s3_stb ), + .m3_ack_o( m3s3_ack ), + .m3_err_o( m3s3_err ), + .m3_rty_o( m3s3_rty ), + .m4_data_i( m4s3_data_o ), + .m4_data_o( m4s3_data_i ), + .m4_addr_i( m4s3_addr ), + .m4_sel_i( m4s3_sel ), + .m4_we_i( m4s3_we ), + .m4_cyc_i( m4s3_cyc ), + .m4_stb_i( m4s3_stb ), + .m4_ack_o( m4s3_ack ), + .m4_err_o( m4s3_err ), + .m4_rty_o( m4s3_rty ), + .m5_data_i( m5s3_data_o ), + .m5_data_o( m5s3_data_i ), + .m5_addr_i( m5s3_addr ), + .m5_sel_i( m5s3_sel ), + .m5_we_i( m5s3_we ), + .m5_cyc_i( m5s3_cyc ), + .m5_stb_i( m5s3_stb ), + .m5_ack_o( m5s3_ack ), + .m5_err_o( m5s3_err ), + .m5_rty_o( m5s3_rty ), + .m6_data_i( m6s3_data_o ), + .m6_data_o( m6s3_data_i ), + .m6_addr_i( m6s3_addr ), + .m6_sel_i( m6s3_sel ), + .m6_we_i( m6s3_we ), + .m6_cyc_i( m6s3_cyc ), + .m6_stb_i( m6s3_stb ), + .m6_ack_o( m6s3_ack ), + .m6_err_o( m6s3_err ), + .m6_rty_o( m6s3_rty ), + .m7_data_i( m7s3_data_o ), + .m7_data_o( m7s3_data_i ), + .m7_addr_i( m7s3_addr ), + .m7_sel_i( m7s3_sel ), + .m7_we_i( m7s3_we ), + .m7_cyc_i( m7s3_cyc ), + .m7_stb_i( m7s3_stb ), + .m7_ack_o( m7s3_ack ), + .m7_err_o( m7s3_err ), + .m7_rty_o( m7s3_rty ) + ); + +wb_conmax_slave_if #(pri_sel4,aw,dw,sw) s4( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf4 ), + .wb_data_i( s4_data_i ), + .wb_data_o( s4_data_o ), + .wb_addr_o( s4_addr_o ), + .wb_sel_o( s4_sel_o ), + .wb_we_o( s4_we_o ), + .wb_cyc_o( s4_cyc_o ), + .wb_stb_o( s4_stb_o ), + .wb_ack_i( s4_ack_i ), + .wb_err_i( s4_err_i ), + .wb_rty_i( s4_rty_i ), + .m0_data_i( m0s4_data_o ), + .m0_data_o( m0s4_data_i ), + .m0_addr_i( m0s4_addr ), + .m0_sel_i( m0s4_sel ), + .m0_we_i( m0s4_we ), + .m0_cyc_i( m0s4_cyc ), + .m0_stb_i( m0s4_stb ), + .m0_ack_o( m0s4_ack ), + .m0_err_o( m0s4_err ), + .m0_rty_o( m0s4_rty ), + .m1_data_i( m1s4_data_o ), + .m1_data_o( m1s4_data_i ), + .m1_addr_i( m1s4_addr ), + .m1_sel_i( m1s4_sel ), + .m1_we_i( m1s4_we ), + .m1_cyc_i( m1s4_cyc ), + .m1_stb_i( m1s4_stb ), + .m1_ack_o( m1s4_ack ), + .m1_err_o( m1s4_err ), + .m1_rty_o( m1s4_rty ), + .m2_data_i( m2s4_data_o ), + .m2_data_o( m2s4_data_i ), + .m2_addr_i( m2s4_addr ), + .m2_sel_i( m2s4_sel ), + .m2_we_i( m2s4_we ), + .m2_cyc_i( m2s4_cyc ), + .m2_stb_i( m2s4_stb ), + .m2_ack_o( m2s4_ack ), + .m2_err_o( m2s4_err ), + .m2_rty_o( m2s4_rty ), + .m3_data_i( m3s4_data_o ), + .m3_data_o( m3s4_data_i ), + .m3_addr_i( m3s4_addr ), + .m3_sel_i( m3s4_sel ), + .m3_we_i( m3s4_we ), + .m3_cyc_i( m3s4_cyc ), + .m3_stb_i( m3s4_stb ), + .m3_ack_o( m3s4_ack ), + .m3_err_o( m3s4_err ), + .m3_rty_o( m3s4_rty ), + .m4_data_i( m4s4_data_o ), + .m4_data_o( m4s4_data_i ), + .m4_addr_i( m4s4_addr ), + .m4_sel_i( m4s4_sel ), + .m4_we_i( m4s4_we ), + .m4_cyc_i( m4s4_cyc ), + .m4_stb_i( m4s4_stb ), + .m4_ack_o( m4s4_ack ), + .m4_err_o( m4s4_err ), + .m4_rty_o( m4s4_rty ), + .m5_data_i( m5s4_data_o ), + .m5_data_o( m5s4_data_i ), + .m5_addr_i( m5s4_addr ), + .m5_sel_i( m5s4_sel ), + .m5_we_i( m5s4_we ), + .m5_cyc_i( m5s4_cyc ), + .m5_stb_i( m5s4_stb ), + .m5_ack_o( m5s4_ack ), + .m5_err_o( m5s4_err ), + .m5_rty_o( m5s4_rty ), + .m6_data_i( m6s4_data_o ), + .m6_data_o( m6s4_data_i ), + .m6_addr_i( m6s4_addr ), + .m6_sel_i( m6s4_sel ), + .m6_we_i( m6s4_we ), + .m6_cyc_i( m6s4_cyc ), + .m6_stb_i( m6s4_stb ), + .m6_ack_o( m6s4_ack ), + .m6_err_o( m6s4_err ), + .m6_rty_o( m6s4_rty ), + .m7_data_i( m7s4_data_o ), + .m7_data_o( m7s4_data_i ), + .m7_addr_i( m7s4_addr ), + .m7_sel_i( m7s4_sel ), + .m7_we_i( m7s4_we ), + .m7_cyc_i( m7s4_cyc ), + .m7_stb_i( m7s4_stb ), + .m7_ack_o( m7s4_ack ), + .m7_err_o( m7s4_err ), + .m7_rty_o( m7s4_rty ) + ); + +wb_conmax_slave_if #(pri_sel5,aw,dw,sw) s5( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf5 ), + .wb_data_i( s5_data_i ), + .wb_data_o( s5_data_o ), + .wb_addr_o( s5_addr_o ), + .wb_sel_o( s5_sel_o ), + .wb_we_o( s5_we_o ), + .wb_cyc_o( s5_cyc_o ), + .wb_stb_o( s5_stb_o ), + .wb_ack_i( s5_ack_i ), + .wb_err_i( s5_err_i ), + .wb_rty_i( s5_rty_i ), + .m0_data_i( m0s5_data_o ), + .m0_data_o( m0s5_data_i ), + .m0_addr_i( m0s5_addr ), + .m0_sel_i( m0s5_sel ), + .m0_we_i( m0s5_we ), + .m0_cyc_i( m0s5_cyc ), + .m0_stb_i( m0s5_stb ), + .m0_ack_o( m0s5_ack ), + .m0_err_o( m0s5_err ), + .m0_rty_o( m0s5_rty ), + .m1_data_i( m1s5_data_o ), + .m1_data_o( m1s5_data_i ), + .m1_addr_i( m1s5_addr ), + .m1_sel_i( m1s5_sel ), + .m1_we_i( m1s5_we ), + .m1_cyc_i( m1s5_cyc ), + .m1_stb_i( m1s5_stb ), + .m1_ack_o( m1s5_ack ), + .m1_err_o( m1s5_err ), + .m1_rty_o( m1s5_rty ), + .m2_data_i( m2s5_data_o ), + .m2_data_o( m2s5_data_i ), + .m2_addr_i( m2s5_addr ), + .m2_sel_i( m2s5_sel ), + .m2_we_i( m2s5_we ), + .m2_cyc_i( m2s5_cyc ), + .m2_stb_i( m2s5_stb ), + .m2_ack_o( m2s5_ack ), + .m2_err_o( m2s5_err ), + .m2_rty_o( m2s5_rty ), + .m3_data_i( m3s5_data_o ), + .m3_data_o( m3s5_data_i ), + .m3_addr_i( m3s5_addr ), + .m3_sel_i( m3s5_sel ), + .m3_we_i( m3s5_we ), + .m3_cyc_i( m3s5_cyc ), + .m3_stb_i( m3s5_stb ), + .m3_ack_o( m3s5_ack ), + .m3_err_o( m3s5_err ), + .m3_rty_o( m3s5_rty ), + .m4_data_i( m4s5_data_o ), + .m4_data_o( m4s5_data_i ), + .m4_addr_i( m4s5_addr ), + .m4_sel_i( m4s5_sel ), + .m4_we_i( m4s5_we ), + .m4_cyc_i( m4s5_cyc ), + .m4_stb_i( m4s5_stb ), + .m4_ack_o( m4s5_ack ), + .m4_err_o( m4s5_err ), + .m4_rty_o( m4s5_rty ), + .m5_data_i( m5s5_data_o ), + .m5_data_o( m5s5_data_i ), + .m5_addr_i( m5s5_addr ), + .m5_sel_i( m5s5_sel ), + .m5_we_i( m5s5_we ), + .m5_cyc_i( m5s5_cyc ), + .m5_stb_i( m5s5_stb ), + .m5_ack_o( m5s5_ack ), + .m5_err_o( m5s5_err ), + .m5_rty_o( m5s5_rty ), + .m6_data_i( m6s5_data_o ), + .m6_data_o( m6s5_data_i ), + .m6_addr_i( m6s5_addr ), + .m6_sel_i( m6s5_sel ), + .m6_we_i( m6s5_we ), + .m6_cyc_i( m6s5_cyc ), + .m6_stb_i( m6s5_stb ), + .m6_ack_o( m6s5_ack ), + .m6_err_o( m6s5_err ), + .m6_rty_o( m6s5_rty ), + .m7_data_i( m7s5_data_o ), + .m7_data_o( m7s5_data_i ), + .m7_addr_i( m7s5_addr ), + .m7_sel_i( m7s5_sel ), + .m7_we_i( m7s5_we ), + .m7_cyc_i( m7s5_cyc ), + .m7_stb_i( m7s5_stb ), + .m7_ack_o( m7s5_ack ), + .m7_err_o( m7s5_err ), + .m7_rty_o( m7s5_rty ) + ); + +wb_conmax_slave_if #(pri_sel6,aw,dw,sw) s6( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf6 ), + .wb_data_i( s6_data_i ), + .wb_data_o( s6_data_o ), + .wb_addr_o( s6_addr_o ), + .wb_sel_o( s6_sel_o ), + .wb_we_o( s6_we_o ), + .wb_cyc_o( s6_cyc_o ), + .wb_stb_o( s6_stb_o ), + .wb_ack_i( s6_ack_i ), + .wb_err_i( s6_err_i ), + .wb_rty_i( s6_rty_i ), + .m0_data_i( m0s6_data_o ), + .m0_data_o( m0s6_data_i ), + .m0_addr_i( m0s6_addr ), + .m0_sel_i( m0s6_sel ), + .m0_we_i( m0s6_we ), + .m0_cyc_i( m0s6_cyc ), + .m0_stb_i( m0s6_stb ), + .m0_ack_o( m0s6_ack ), + .m0_err_o( m0s6_err ), + .m0_rty_o( m0s6_rty ), + .m1_data_i( m1s6_data_o ), + .m1_data_o( m1s6_data_i ), + .m1_addr_i( m1s6_addr ), + .m1_sel_i( m1s6_sel ), + .m1_we_i( m1s6_we ), + .m1_cyc_i( m1s6_cyc ), + .m1_stb_i( m1s6_stb ), + .m1_ack_o( m1s6_ack ), + .m1_err_o( m1s6_err ), + .m1_rty_o( m1s6_rty ), + .m2_data_i( m2s6_data_o ), + .m2_data_o( m2s6_data_i ), + .m2_addr_i( m2s6_addr ), + .m2_sel_i( m2s6_sel ), + .m2_we_i( m2s6_we ), + .m2_cyc_i( m2s6_cyc ), + .m2_stb_i( m2s6_stb ), + .m2_ack_o( m2s6_ack ), + .m2_err_o( m2s6_err ), + .m2_rty_o( m2s6_rty ), + .m3_data_i( m3s6_data_o ), + .m3_data_o( m3s6_data_i ), + .m3_addr_i( m3s6_addr ), + .m3_sel_i( m3s6_sel ), + .m3_we_i( m3s6_we ), + .m3_cyc_i( m3s6_cyc ), + .m3_stb_i( m3s6_stb ), + .m3_ack_o( m3s6_ack ), + .m3_err_o( m3s6_err ), + .m3_rty_o( m3s6_rty ), + .m4_data_i( m4s6_data_o ), + .m4_data_o( m4s6_data_i ), + .m4_addr_i( m4s6_addr ), + .m4_sel_i( m4s6_sel ), + .m4_we_i( m4s6_we ), + .m4_cyc_i( m4s6_cyc ), + .m4_stb_i( m4s6_stb ), + .m4_ack_o( m4s6_ack ), + .m4_err_o( m4s6_err ), + .m4_rty_o( m4s6_rty ), + .m5_data_i( m5s6_data_o ), + .m5_data_o( m5s6_data_i ), + .m5_addr_i( m5s6_addr ), + .m5_sel_i( m5s6_sel ), + .m5_we_i( m5s6_we ), + .m5_cyc_i( m5s6_cyc ), + .m5_stb_i( m5s6_stb ), + .m5_ack_o( m5s6_ack ), + .m5_err_o( m5s6_err ), + .m5_rty_o( m5s6_rty ), + .m6_data_i( m6s6_data_o ), + .m6_data_o( m6s6_data_i ), + .m6_addr_i( m6s6_addr ), + .m6_sel_i( m6s6_sel ), + .m6_we_i( m6s6_we ), + .m6_cyc_i( m6s6_cyc ), + .m6_stb_i( m6s6_stb ), + .m6_ack_o( m6s6_ack ), + .m6_err_o( m6s6_err ), + .m6_rty_o( m6s6_rty ), + .m7_data_i( m7s6_data_o ), + .m7_data_o( m7s6_data_i ), + .m7_addr_i( m7s6_addr ), + .m7_sel_i( m7s6_sel ), + .m7_we_i( m7s6_we ), + .m7_cyc_i( m7s6_cyc ), + .m7_stb_i( m7s6_stb ), + .m7_ack_o( m7s6_ack ), + .m7_err_o( m7s6_err ), + .m7_rty_o( m7s6_rty ) + ); + +wb_conmax_slave_if #(pri_sel7,aw,dw,sw) s7( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf7 ), + .wb_data_i( s7_data_i ), + .wb_data_o( s7_data_o ), + .wb_addr_o( s7_addr_o ), + .wb_sel_o( s7_sel_o ), + .wb_we_o( s7_we_o ), + .wb_cyc_o( s7_cyc_o ), + .wb_stb_o( s7_stb_o ), + .wb_ack_i( s7_ack_i ), + .wb_err_i( s7_err_i ), + .wb_rty_i( s7_rty_i ), + .m0_data_i( m0s7_data_o ), + .m0_data_o( m0s7_data_i ), + .m0_addr_i( m0s7_addr ), + .m0_sel_i( m0s7_sel ), + .m0_we_i( m0s7_we ), + .m0_cyc_i( m0s7_cyc ), + .m0_stb_i( m0s7_stb ), + .m0_ack_o( m0s7_ack ), + .m0_err_o( m0s7_err ), + .m0_rty_o( m0s7_rty ), + .m1_data_i( m1s7_data_o ), + .m1_data_o( m1s7_data_i ), + .m1_addr_i( m1s7_addr ), + .m1_sel_i( m1s7_sel ), + .m1_we_i( m1s7_we ), + .m1_cyc_i( m1s7_cyc ), + .m1_stb_i( m1s7_stb ), + .m1_ack_o( m1s7_ack ), + .m1_err_o( m1s7_err ), + .m1_rty_o( m1s7_rty ), + .m2_data_i( m2s7_data_o ), + .m2_data_o( m2s7_data_i ), + .m2_addr_i( m2s7_addr ), + .m2_sel_i( m2s7_sel ), + .m2_we_i( m2s7_we ), + .m2_cyc_i( m2s7_cyc ), + .m2_stb_i( m2s7_stb ), + .m2_ack_o( m2s7_ack ), + .m2_err_o( m2s7_err ), + .m2_rty_o( m2s7_rty ), + .m3_data_i( m3s7_data_o ), + .m3_data_o( m3s7_data_i ), + .m3_addr_i( m3s7_addr ), + .m3_sel_i( m3s7_sel ), + .m3_we_i( m3s7_we ), + .m3_cyc_i( m3s7_cyc ), + .m3_stb_i( m3s7_stb ), + .m3_ack_o( m3s7_ack ), + .m3_err_o( m3s7_err ), + .m3_rty_o( m3s7_rty ), + .m4_data_i( m4s7_data_o ), + .m4_data_o( m4s7_data_i ), + .m4_addr_i( m4s7_addr ), + .m4_sel_i( m4s7_sel ), + .m4_we_i( m4s7_we ), + .m4_cyc_i( m4s7_cyc ), + .m4_stb_i( m4s7_stb ), + .m4_ack_o( m4s7_ack ), + .m4_err_o( m4s7_err ), + .m4_rty_o( m4s7_rty ), + .m5_data_i( m5s7_data_o ), + .m5_data_o( m5s7_data_i ), + .m5_addr_i( m5s7_addr ), + .m5_sel_i( m5s7_sel ), + .m5_we_i( m5s7_we ), + .m5_cyc_i( m5s7_cyc ), + .m5_stb_i( m5s7_stb ), + .m5_ack_o( m5s7_ack ), + .m5_err_o( m5s7_err ), + .m5_rty_o( m5s7_rty ), + .m6_data_i( m6s7_data_o ), + .m6_data_o( m6s7_data_i ), + .m6_addr_i( m6s7_addr ), + .m6_sel_i( m6s7_sel ), + .m6_we_i( m6s7_we ), + .m6_cyc_i( m6s7_cyc ), + .m6_stb_i( m6s7_stb ), + .m6_ack_o( m6s7_ack ), + .m6_err_o( m6s7_err ), + .m6_rty_o( m6s7_rty ), + .m7_data_i( m7s7_data_o ), + .m7_data_o( m7s7_data_i ), + .m7_addr_i( m7s7_addr ), + .m7_sel_i( m7s7_sel ), + .m7_we_i( m7s7_we ), + .m7_cyc_i( m7s7_cyc ), + .m7_stb_i( m7s7_stb ), + .m7_ack_o( m7s7_ack ), + .m7_err_o( m7s7_err ), + .m7_rty_o( m7s7_rty ) + ); + +wb_conmax_slave_if #(pri_sel8,aw,dw,sw) s8( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf8 ), + .wb_data_i( s8_data_i ), + .wb_data_o( s8_data_o ), + .wb_addr_o( s8_addr_o ), + .wb_sel_o( s8_sel_o ), + .wb_we_o( s8_we_o ), + .wb_cyc_o( s8_cyc_o ), + .wb_stb_o( s8_stb_o ), + .wb_ack_i( s8_ack_i ), + .wb_err_i( s8_err_i ), + .wb_rty_i( s8_rty_i ), + .m0_data_i( m0s8_data_o ), + .m0_data_o( m0s8_data_i ), + .m0_addr_i( m0s8_addr ), + .m0_sel_i( m0s8_sel ), + .m0_we_i( m0s8_we ), + .m0_cyc_i( m0s8_cyc ), + .m0_stb_i( m0s8_stb ), + .m0_ack_o( m0s8_ack ), + .m0_err_o( m0s8_err ), + .m0_rty_o( m0s8_rty ), + .m1_data_i( m1s8_data_o ), + .m1_data_o( m1s8_data_i ), + .m1_addr_i( m1s8_addr ), + .m1_sel_i( m1s8_sel ), + .m1_we_i( m1s8_we ), + .m1_cyc_i( m1s8_cyc ), + .m1_stb_i( m1s8_stb ), + .m1_ack_o( m1s8_ack ), + .m1_err_o( m1s8_err ), + .m1_rty_o( m1s8_rty ), + .m2_data_i( m2s8_data_o ), + .m2_data_o( m2s8_data_i ), + .m2_addr_i( m2s8_addr ), + .m2_sel_i( m2s8_sel ), + .m2_we_i( m2s8_we ), + .m2_cyc_i( m2s8_cyc ), + .m2_stb_i( m2s8_stb ), + .m2_ack_o( m2s8_ack ), + .m2_err_o( m2s8_err ), + .m2_rty_o( m2s8_rty ), + .m3_data_i( m3s8_data_o ), + .m3_data_o( m3s8_data_i ), + .m3_addr_i( m3s8_addr ), + .m3_sel_i( m3s8_sel ), + .m3_we_i( m3s8_we ), + .m3_cyc_i( m3s8_cyc ), + .m3_stb_i( m3s8_stb ), + .m3_ack_o( m3s8_ack ), + .m3_err_o( m3s8_err ), + .m3_rty_o( m3s8_rty ), + .m4_data_i( m4s8_data_o ), + .m4_data_o( m4s8_data_i ), + .m4_addr_i( m4s8_addr ), + .m4_sel_i( m4s8_sel ), + .m4_we_i( m4s8_we ), + .m4_cyc_i( m4s8_cyc ), + .m4_stb_i( m4s8_stb ), + .m4_ack_o( m4s8_ack ), + .m4_err_o( m4s8_err ), + .m4_rty_o( m4s8_rty ), + .m5_data_i( m5s8_data_o ), + .m5_data_o( m5s8_data_i ), + .m5_addr_i( m5s8_addr ), + .m5_sel_i( m5s8_sel ), + .m5_we_i( m5s8_we ), + .m5_cyc_i( m5s8_cyc ), + .m5_stb_i( m5s8_stb ), + .m5_ack_o( m5s8_ack ), + .m5_err_o( m5s8_err ), + .m5_rty_o( m5s8_rty ), + .m6_data_i( m6s8_data_o ), + .m6_data_o( m6s8_data_i ), + .m6_addr_i( m6s8_addr ), + .m6_sel_i( m6s8_sel ), + .m6_we_i( m6s8_we ), + .m6_cyc_i( m6s8_cyc ), + .m6_stb_i( m6s8_stb ), + .m6_ack_o( m6s8_ack ), + .m6_err_o( m6s8_err ), + .m6_rty_o( m6s8_rty ), + .m7_data_i( m7s8_data_o ), + .m7_data_o( m7s8_data_i ), + .m7_addr_i( m7s8_addr ), + .m7_sel_i( m7s8_sel ), + .m7_we_i( m7s8_we ), + .m7_cyc_i( m7s8_cyc ), + .m7_stb_i( m7s8_stb ), + .m7_ack_o( m7s8_ack ), + .m7_err_o( m7s8_err ), + .m7_rty_o( m7s8_rty ) + ); + +wb_conmax_slave_if #(pri_sel9,aw,dw,sw) s9( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf9 ), + .wb_data_i( s9_data_i ), + .wb_data_o( s9_data_o ), + .wb_addr_o( s9_addr_o ), + .wb_sel_o( s9_sel_o ), + .wb_we_o( s9_we_o ), + .wb_cyc_o( s9_cyc_o ), + .wb_stb_o( s9_stb_o ), + .wb_ack_i( s9_ack_i ), + .wb_err_i( s9_err_i ), + .wb_rty_i( s9_rty_i ), + .m0_data_i( m0s9_data_o ), + .m0_data_o( m0s9_data_i ), + .m0_addr_i( m0s9_addr ), + .m0_sel_i( m0s9_sel ), + .m0_we_i( m0s9_we ), + .m0_cyc_i( m0s9_cyc ), + .m0_stb_i( m0s9_stb ), + .m0_ack_o( m0s9_ack ), + .m0_err_o( m0s9_err ), + .m0_rty_o( m0s9_rty ), + .m1_data_i( m1s9_data_o ), + .m1_data_o( m1s9_data_i ), + .m1_addr_i( m1s9_addr ), + .m1_sel_i( m1s9_sel ), + .m1_we_i( m1s9_we ), + .m1_cyc_i( m1s9_cyc ), + .m1_stb_i( m1s9_stb ), + .m1_ack_o( m1s9_ack ), + .m1_err_o( m1s9_err ), + .m1_rty_o( m1s9_rty ), + .m2_data_i( m2s9_data_o ), + .m2_data_o( m2s9_data_i ), + .m2_addr_i( m2s9_addr ), + .m2_sel_i( m2s9_sel ), + .m2_we_i( m2s9_we ), + .m2_cyc_i( m2s9_cyc ), + .m2_stb_i( m2s9_stb ), + .m2_ack_o( m2s9_ack ), + .m2_err_o( m2s9_err ), + .m2_rty_o( m2s9_rty ), + .m3_data_i( m3s9_data_o ), + .m3_data_o( m3s9_data_i ), + .m3_addr_i( m3s9_addr ), + .m3_sel_i( m3s9_sel ), + .m3_we_i( m3s9_we ), + .m3_cyc_i( m3s9_cyc ), + .m3_stb_i( m3s9_stb ), + .m3_ack_o( m3s9_ack ), + .m3_err_o( m3s9_err ), + .m3_rty_o( m3s9_rty ), + .m4_data_i( m4s9_data_o ), + .m4_data_o( m4s9_data_i ), + .m4_addr_i( m4s9_addr ), + .m4_sel_i( m4s9_sel ), + .m4_we_i( m4s9_we ), + .m4_cyc_i( m4s9_cyc ), + .m4_stb_i( m4s9_stb ), + .m4_ack_o( m4s9_ack ), + .m4_err_o( m4s9_err ), + .m4_rty_o( m4s9_rty ), + .m5_data_i( m5s9_data_o ), + .m5_data_o( m5s9_data_i ), + .m5_addr_i( m5s9_addr ), + .m5_sel_i( m5s9_sel ), + .m5_we_i( m5s9_we ), + .m5_cyc_i( m5s9_cyc ), + .m5_stb_i( m5s9_stb ), + .m5_ack_o( m5s9_ack ), + .m5_err_o( m5s9_err ), + .m5_rty_o( m5s9_rty ), + .m6_data_i( m6s9_data_o ), + .m6_data_o( m6s9_data_i ), + .m6_addr_i( m6s9_addr ), + .m6_sel_i( m6s9_sel ), + .m6_we_i( m6s9_we ), + .m6_cyc_i( m6s9_cyc ), + .m6_stb_i( m6s9_stb ), + .m6_ack_o( m6s9_ack ), + .m6_err_o( m6s9_err ), + .m6_rty_o( m6s9_rty ), + .m7_data_i( m7s9_data_o ), + .m7_data_o( m7s9_data_i ), + .m7_addr_i( m7s9_addr ), + .m7_sel_i( m7s9_sel ), + .m7_we_i( m7s9_we ), + .m7_cyc_i( m7s9_cyc ), + .m7_stb_i( m7s9_stb ), + .m7_ack_o( m7s9_ack ), + .m7_err_o( m7s9_err ), + .m7_rty_o( m7s9_rty ) + ); + +wb_conmax_slave_if #(pri_sel10,aw,dw,sw) s10( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf10 ), + .wb_data_i( s10_data_i ), + .wb_data_o( s10_data_o ), + .wb_addr_o( s10_addr_o ), + .wb_sel_o( s10_sel_o ), + .wb_we_o( s10_we_o ), + .wb_cyc_o( s10_cyc_o ), + .wb_stb_o( s10_stb_o ), + .wb_ack_i( s10_ack_i ), + .wb_err_i( s10_err_i ), + .wb_rty_i( s10_rty_i ), + .m0_data_i( m0s10_data_o ), + .m0_data_o( m0s10_data_i ), + .m0_addr_i( m0s10_addr ), + .m0_sel_i( m0s10_sel ), + .m0_we_i( m0s10_we ), + .m0_cyc_i( m0s10_cyc ), + .m0_stb_i( m0s10_stb ), + .m0_ack_o( m0s10_ack ), + .m0_err_o( m0s10_err ), + .m0_rty_o( m0s10_rty ), + .m1_data_i( m1s10_data_o ), + .m1_data_o( m1s10_data_i ), + .m1_addr_i( m1s10_addr ), + .m1_sel_i( m1s10_sel ), + .m1_we_i( m1s10_we ), + .m1_cyc_i( m1s10_cyc ), + .m1_stb_i( m1s10_stb ), + .m1_ack_o( m1s10_ack ), + .m1_err_o( m1s10_err ), + .m1_rty_o( m1s10_rty ), + .m2_data_i( m2s10_data_o ), + .m2_data_o( m2s10_data_i ), + .m2_addr_i( m2s10_addr ), + .m2_sel_i( m2s10_sel ), + .m2_we_i( m2s10_we ), + .m2_cyc_i( m2s10_cyc ), + .m2_stb_i( m2s10_stb ), + .m2_ack_o( m2s10_ack ), + .m2_err_o( m2s10_err ), + .m2_rty_o( m2s10_rty ), + .m3_data_i( m3s10_data_o ), + .m3_data_o( m3s10_data_i ), + .m3_addr_i( m3s10_addr ), + .m3_sel_i( m3s10_sel ), + .m3_we_i( m3s10_we ), + .m3_cyc_i( m3s10_cyc ), + .m3_stb_i( m3s10_stb ), + .m3_ack_o( m3s10_ack ), + .m3_err_o( m3s10_err ), + .m3_rty_o( m3s10_rty ), + .m4_data_i( m4s10_data_o ), + .m4_data_o( m4s10_data_i ), + .m4_addr_i( m4s10_addr ), + .m4_sel_i( m4s10_sel ), + .m4_we_i( m4s10_we ), + .m4_cyc_i( m4s10_cyc ), + .m4_stb_i( m4s10_stb ), + .m4_ack_o( m4s10_ack ), + .m4_err_o( m4s10_err ), + .m4_rty_o( m4s10_rty ), + .m5_data_i( m5s10_data_o ), + .m5_data_o( m5s10_data_i ), + .m5_addr_i( m5s10_addr ), + .m5_sel_i( m5s10_sel ), + .m5_we_i( m5s10_we ), + .m5_cyc_i( m5s10_cyc ), + .m5_stb_i( m5s10_stb ), + .m5_ack_o( m5s10_ack ), + .m5_err_o( m5s10_err ), + .m5_rty_o( m5s10_rty ), + .m6_data_i( m6s10_data_o ), + .m6_data_o( m6s10_data_i ), + .m6_addr_i( m6s10_addr ), + .m6_sel_i( m6s10_sel ), + .m6_we_i( m6s10_we ), + .m6_cyc_i( m6s10_cyc ), + .m6_stb_i( m6s10_stb ), + .m6_ack_o( m6s10_ack ), + .m6_err_o( m6s10_err ), + .m6_rty_o( m6s10_rty ), + .m7_data_i( m7s10_data_o ), + .m7_data_o( m7s10_data_i ), + .m7_addr_i( m7s10_addr ), + .m7_sel_i( m7s10_sel ), + .m7_we_i( m7s10_we ), + .m7_cyc_i( m7s10_cyc ), + .m7_stb_i( m7s10_stb ), + .m7_ack_o( m7s10_ack ), + .m7_err_o( m7s10_err ), + .m7_rty_o( m7s10_rty ) + ); + +wb_conmax_slave_if #(pri_sel11,aw,dw,sw) s11( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf11 ), + .wb_data_i( s11_data_i ), + .wb_data_o( s11_data_o ), + .wb_addr_o( s11_addr_o ), + .wb_sel_o( s11_sel_o ), + .wb_we_o( s11_we_o ), + .wb_cyc_o( s11_cyc_o ), + .wb_stb_o( s11_stb_o ), + .wb_ack_i( s11_ack_i ), + .wb_err_i( s11_err_i ), + .wb_rty_i( s11_rty_i ), + .m0_data_i( m0s11_data_o ), + .m0_data_o( m0s11_data_i ), + .m0_addr_i( m0s11_addr ), + .m0_sel_i( m0s11_sel ), + .m0_we_i( m0s11_we ), + .m0_cyc_i( m0s11_cyc ), + .m0_stb_i( m0s11_stb ), + .m0_ack_o( m0s11_ack ), + .m0_err_o( m0s11_err ), + .m0_rty_o( m0s11_rty ), + .m1_data_i( m1s11_data_o ), + .m1_data_o( m1s11_data_i ), + .m1_addr_i( m1s11_addr ), + .m1_sel_i( m1s11_sel ), + .m1_we_i( m1s11_we ), + .m1_cyc_i( m1s11_cyc ), + .m1_stb_i( m1s11_stb ), + .m1_ack_o( m1s11_ack ), + .m1_err_o( m1s11_err ), + .m1_rty_o( m1s11_rty ), + .m2_data_i( m2s11_data_o ), + .m2_data_o( m2s11_data_i ), + .m2_addr_i( m2s11_addr ), + .m2_sel_i( m2s11_sel ), + .m2_we_i( m2s11_we ), + .m2_cyc_i( m2s11_cyc ), + .m2_stb_i( m2s11_stb ), + .m2_ack_o( m2s11_ack ), + .m2_err_o( m2s11_err ), + .m2_rty_o( m2s11_rty ), + .m3_data_i( m3s11_data_o ), + .m3_data_o( m3s11_data_i ), + .m3_addr_i( m3s11_addr ), + .m3_sel_i( m3s11_sel ), + .m3_we_i( m3s11_we ), + .m3_cyc_i( m3s11_cyc ), + .m3_stb_i( m3s11_stb ), + .m3_ack_o( m3s11_ack ), + .m3_err_o( m3s11_err ), + .m3_rty_o( m3s11_rty ), + .m4_data_i( m4s11_data_o ), + .m4_data_o( m4s11_data_i ), + .m4_addr_i( m4s11_addr ), + .m4_sel_i( m4s11_sel ), + .m4_we_i( m4s11_we ), + .m4_cyc_i( m4s11_cyc ), + .m4_stb_i( m4s11_stb ), + .m4_ack_o( m4s11_ack ), + .m4_err_o( m4s11_err ), + .m4_rty_o( m4s11_rty ), + .m5_data_i( m5s11_data_o ), + .m5_data_o( m5s11_data_i ), + .m5_addr_i( m5s11_addr ), + .m5_sel_i( m5s11_sel ), + .m5_we_i( m5s11_we ), + .m5_cyc_i( m5s11_cyc ), + .m5_stb_i( m5s11_stb ), + .m5_ack_o( m5s11_ack ), + .m5_err_o( m5s11_err ), + .m5_rty_o( m5s11_rty ), + .m6_data_i( m6s11_data_o ), + .m6_data_o( m6s11_data_i ), + .m6_addr_i( m6s11_addr ), + .m6_sel_i( m6s11_sel ), + .m6_we_i( m6s11_we ), + .m6_cyc_i( m6s11_cyc ), + .m6_stb_i( m6s11_stb ), + .m6_ack_o( m6s11_ack ), + .m6_err_o( m6s11_err ), + .m6_rty_o( m6s11_rty ), + .m7_data_i( m7s11_data_o ), + .m7_data_o( m7s11_data_i ), + .m7_addr_i( m7s11_addr ), + .m7_sel_i( m7s11_sel ), + .m7_we_i( m7s11_we ), + .m7_cyc_i( m7s11_cyc ), + .m7_stb_i( m7s11_stb ), + .m7_ack_o( m7s11_ack ), + .m7_err_o( m7s11_err ), + .m7_rty_o( m7s11_rty ) + ); + +wb_conmax_slave_if #(pri_sel12,aw,dw,sw) s12( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf12 ), + .wb_data_i( s12_data_i ), + .wb_data_o( s12_data_o ), + .wb_addr_o( s12_addr_o ), + .wb_sel_o( s12_sel_o ), + .wb_we_o( s12_we_o ), + .wb_cyc_o( s12_cyc_o ), + .wb_stb_o( s12_stb_o ), + .wb_ack_i( s12_ack_i ), + .wb_err_i( s12_err_i ), + .wb_rty_i( s12_rty_i ), + .m0_data_i( m0s12_data_o ), + .m0_data_o( m0s12_data_i ), + .m0_addr_i( m0s12_addr ), + .m0_sel_i( m0s12_sel ), + .m0_we_i( m0s12_we ), + .m0_cyc_i( m0s12_cyc ), + .m0_stb_i( m0s12_stb ), + .m0_ack_o( m0s12_ack ), + .m0_err_o( m0s12_err ), + .m0_rty_o( m0s12_rty ), + .m1_data_i( m1s12_data_o ), + .m1_data_o( m1s12_data_i ), + .m1_addr_i( m1s12_addr ), + .m1_sel_i( m1s12_sel ), + .m1_we_i( m1s12_we ), + .m1_cyc_i( m1s12_cyc ), + .m1_stb_i( m1s12_stb ), + .m1_ack_o( m1s12_ack ), + .m1_err_o( m1s12_err ), + .m1_rty_o( m1s12_rty ), + .m2_data_i( m2s12_data_o ), + .m2_data_o( m2s12_data_i ), + .m2_addr_i( m2s12_addr ), + .m2_sel_i( m2s12_sel ), + .m2_we_i( m2s12_we ), + .m2_cyc_i( m2s12_cyc ), + .m2_stb_i( m2s12_stb ), + .m2_ack_o( m2s12_ack ), + .m2_err_o( m2s12_err ), + .m2_rty_o( m2s12_rty ), + .m3_data_i( m3s12_data_o ), + .m3_data_o( m3s12_data_i ), + .m3_addr_i( m3s12_addr ), + .m3_sel_i( m3s12_sel ), + .m3_we_i( m3s12_we ), + .m3_cyc_i( m3s12_cyc ), + .m3_stb_i( m3s12_stb ), + .m3_ack_o( m3s12_ack ), + .m3_err_o( m3s12_err ), + .m3_rty_o( m3s12_rty ), + .m4_data_i( m4s12_data_o ), + .m4_data_o( m4s12_data_i ), + .m4_addr_i( m4s12_addr ), + .m4_sel_i( m4s12_sel ), + .m4_we_i( m4s12_we ), + .m4_cyc_i( m4s12_cyc ), + .m4_stb_i( m4s12_stb ), + .m4_ack_o( m4s12_ack ), + .m4_err_o( m4s12_err ), + .m4_rty_o( m4s12_rty ), + .m5_data_i( m5s12_data_o ), + .m5_data_o( m5s12_data_i ), + .m5_addr_i( m5s12_addr ), + .m5_sel_i( m5s12_sel ), + .m5_we_i( m5s12_we ), + .m5_cyc_i( m5s12_cyc ), + .m5_stb_i( m5s12_stb ), + .m5_ack_o( m5s12_ack ), + .m5_err_o( m5s12_err ), + .m5_rty_o( m5s12_rty ), + .m6_data_i( m6s12_data_o ), + .m6_data_o( m6s12_data_i ), + .m6_addr_i( m6s12_addr ), + .m6_sel_i( m6s12_sel ), + .m6_we_i( m6s12_we ), + .m6_cyc_i( m6s12_cyc ), + .m6_stb_i( m6s12_stb ), + .m6_ack_o( m6s12_ack ), + .m6_err_o( m6s12_err ), + .m6_rty_o( m6s12_rty ), + .m7_data_i( m7s12_data_o ), + .m7_data_o( m7s12_data_i ), + .m7_addr_i( m7s12_addr ), + .m7_sel_i( m7s12_sel ), + .m7_we_i( m7s12_we ), + .m7_cyc_i( m7s12_cyc ), + .m7_stb_i( m7s12_stb ), + .m7_ack_o( m7s12_ack ), + .m7_err_o( m7s12_err ), + .m7_rty_o( m7s12_rty ) + ); + +wb_conmax_slave_if #(pri_sel13,aw,dw,sw) s13( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf13 ), + .wb_data_i( s13_data_i ), + .wb_data_o( s13_data_o ), + .wb_addr_o( s13_addr_o ), + .wb_sel_o( s13_sel_o ), + .wb_we_o( s13_we_o ), + .wb_cyc_o( s13_cyc_o ), + .wb_stb_o( s13_stb_o ), + .wb_ack_i( s13_ack_i ), + .wb_err_i( s13_err_i ), + .wb_rty_i( s13_rty_i ), + .m0_data_i( m0s13_data_o ), + .m0_data_o( m0s13_data_i ), + .m0_addr_i( m0s13_addr ), + .m0_sel_i( m0s13_sel ), + .m0_we_i( m0s13_we ), + .m0_cyc_i( m0s13_cyc ), + .m0_stb_i( m0s13_stb ), + .m0_ack_o( m0s13_ack ), + .m0_err_o( m0s13_err ), + .m0_rty_o( m0s13_rty ), + .m1_data_i( m1s13_data_o ), + .m1_data_o( m1s13_data_i ), + .m1_addr_i( m1s13_addr ), + .m1_sel_i( m1s13_sel ), + .m1_we_i( m1s13_we ), + .m1_cyc_i( m1s13_cyc ), + .m1_stb_i( m1s13_stb ), + .m1_ack_o( m1s13_ack ), + .m1_err_o( m1s13_err ), + .m1_rty_o( m1s13_rty ), + .m2_data_i( m2s13_data_o ), + .m2_data_o( m2s13_data_i ), + .m2_addr_i( m2s13_addr ), + .m2_sel_i( m2s13_sel ), + .m2_we_i( m2s13_we ), + .m2_cyc_i( m2s13_cyc ), + .m2_stb_i( m2s13_stb ), + .m2_ack_o( m2s13_ack ), + .m2_err_o( m2s13_err ), + .m2_rty_o( m2s13_rty ), + .m3_data_i( m3s13_data_o ), + .m3_data_o( m3s13_data_i ), + .m3_addr_i( m3s13_addr ), + .m3_sel_i( m3s13_sel ), + .m3_we_i( m3s13_we ), + .m3_cyc_i( m3s13_cyc ), + .m3_stb_i( m3s13_stb ), + .m3_ack_o( m3s13_ack ), + .m3_err_o( m3s13_err ), + .m3_rty_o( m3s13_rty ), + .m4_data_i( m4s13_data_o ), + .m4_data_o( m4s13_data_i ), + .m4_addr_i( m4s13_addr ), + .m4_sel_i( m4s13_sel ), + .m4_we_i( m4s13_we ), + .m4_cyc_i( m4s13_cyc ), + .m4_stb_i( m4s13_stb ), + .m4_ack_o( m4s13_ack ), + .m4_err_o( m4s13_err ), + .m4_rty_o( m4s13_rty ), + .m5_data_i( m5s13_data_o ), + .m5_data_o( m5s13_data_i ), + .m5_addr_i( m5s13_addr ), + .m5_sel_i( m5s13_sel ), + .m5_we_i( m5s13_we ), + .m5_cyc_i( m5s13_cyc ), + .m5_stb_i( m5s13_stb ), + .m5_ack_o( m5s13_ack ), + .m5_err_o( m5s13_err ), + .m5_rty_o( m5s13_rty ), + .m6_data_i( m6s13_data_o ), + .m6_data_o( m6s13_data_i ), + .m6_addr_i( m6s13_addr ), + .m6_sel_i( m6s13_sel ), + .m6_we_i( m6s13_we ), + .m6_cyc_i( m6s13_cyc ), + .m6_stb_i( m6s13_stb ), + .m6_ack_o( m6s13_ack ), + .m6_err_o( m6s13_err ), + .m6_rty_o( m6s13_rty ), + .m7_data_i( m7s13_data_o ), + .m7_data_o( m7s13_data_i ), + .m7_addr_i( m7s13_addr ), + .m7_sel_i( m7s13_sel ), + .m7_we_i( m7s13_we ), + .m7_cyc_i( m7s13_cyc ), + .m7_stb_i( m7s13_stb ), + .m7_ack_o( m7s13_ack ), + .m7_err_o( m7s13_err ), + .m7_rty_o( m7s13_rty ) + ); + +wb_conmax_slave_if #(pri_sel14,aw,dw,sw) s14( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf14 ), + .wb_data_i( s14_data_i ), + .wb_data_o( s14_data_o ), + .wb_addr_o( s14_addr_o ), + .wb_sel_o( s14_sel_o ), + .wb_we_o( s14_we_o ), + .wb_cyc_o( s14_cyc_o ), + .wb_stb_o( s14_stb_o ), + .wb_ack_i( s14_ack_i ), + .wb_err_i( s14_err_i ), + .wb_rty_i( s14_rty_i ), + .m0_data_i( m0s14_data_o ), + .m0_data_o( m0s14_data_i ), + .m0_addr_i( m0s14_addr ), + .m0_sel_i( m0s14_sel ), + .m0_we_i( m0s14_we ), + .m0_cyc_i( m0s14_cyc ), + .m0_stb_i( m0s14_stb ), + .m0_ack_o( m0s14_ack ), + .m0_err_o( m0s14_err ), + .m0_rty_o( m0s14_rty ), + .m1_data_i( m1s14_data_o ), + .m1_data_o( m1s14_data_i ), + .m1_addr_i( m1s14_addr ), + .m1_sel_i( m1s14_sel ), + .m1_we_i( m1s14_we ), + .m1_cyc_i( m1s14_cyc ), + .m1_stb_i( m1s14_stb ), + .m1_ack_o( m1s14_ack ), + .m1_err_o( m1s14_err ), + .m1_rty_o( m1s14_rty ), + .m2_data_i( m2s14_data_o ), + .m2_data_o( m2s14_data_i ), + .m2_addr_i( m2s14_addr ), + .m2_sel_i( m2s14_sel ), + .m2_we_i( m2s14_we ), + .m2_cyc_i( m2s14_cyc ), + .m2_stb_i( m2s14_stb ), + .m2_ack_o( m2s14_ack ), + .m2_err_o( m2s14_err ), + .m2_rty_o( m2s14_rty ), + .m3_data_i( m3s14_data_o ), + .m3_data_o( m3s14_data_i ), + .m3_addr_i( m3s14_addr ), + .m3_sel_i( m3s14_sel ), + .m3_we_i( m3s14_we ), + .m3_cyc_i( m3s14_cyc ), + .m3_stb_i( m3s14_stb ), + .m3_ack_o( m3s14_ack ), + .m3_err_o( m3s14_err ), + .m3_rty_o( m3s14_rty ), + .m4_data_i( m4s14_data_o ), + .m4_data_o( m4s14_data_i ), + .m4_addr_i( m4s14_addr ), + .m4_sel_i( m4s14_sel ), + .m4_we_i( m4s14_we ), + .m4_cyc_i( m4s14_cyc ), + .m4_stb_i( m4s14_stb ), + .m4_ack_o( m4s14_ack ), + .m4_err_o( m4s14_err ), + .m4_rty_o( m4s14_rty ), + .m5_data_i( m5s14_data_o ), + .m5_data_o( m5s14_data_i ), + .m5_addr_i( m5s14_addr ), + .m5_sel_i( m5s14_sel ), + .m5_we_i( m5s14_we ), + .m5_cyc_i( m5s14_cyc ), + .m5_stb_i( m5s14_stb ), + .m5_ack_o( m5s14_ack ), + .m5_err_o( m5s14_err ), + .m5_rty_o( m5s14_rty ), + .m6_data_i( m6s14_data_o ), + .m6_data_o( m6s14_data_i ), + .m6_addr_i( m6s14_addr ), + .m6_sel_i( m6s14_sel ), + .m6_we_i( m6s14_we ), + .m6_cyc_i( m6s14_cyc ), + .m6_stb_i( m6s14_stb ), + .m6_ack_o( m6s14_ack ), + .m6_err_o( m6s14_err ), + .m6_rty_o( m6s14_rty ), + .m7_data_i( m7s14_data_o ), + .m7_data_o( m7s14_data_i ), + .m7_addr_i( m7s14_addr ), + .m7_sel_i( m7s14_sel ), + .m7_we_i( m7s14_we ), + .m7_cyc_i( m7s14_cyc ), + .m7_stb_i( m7s14_stb ), + .m7_ack_o( m7s14_ack ), + .m7_err_o( m7s14_err ), + .m7_rty_o( m7s14_rty ) + ); + +wb_conmax_slave_if #(pri_sel15,aw,dw,sw) s15( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf15 ), + .wb_data_i( i_s15_data_i ), + .wb_data_o( i_s15_data_o ), + .wb_addr_o( i_s15_addr_o ), + .wb_sel_o( i_s15_sel_o ), + .wb_we_o( i_s15_we_o ), + .wb_cyc_o( i_s15_cyc_o ), + .wb_stb_o( i_s15_stb_o ), + .wb_ack_i( i_s15_ack_i ), + .wb_err_i( i_s15_err_i ), + .wb_rty_i( i_s15_rty_i ), + .m0_data_i( m0s15_data_o ), + .m0_data_o( m0s15_data_i ), + .m0_addr_i( m0s15_addr ), + .m0_sel_i( m0s15_sel ), + .m0_we_i( m0s15_we ), + .m0_cyc_i( m0s15_cyc ), + .m0_stb_i( m0s15_stb ), + .m0_ack_o( m0s15_ack ), + .m0_err_o( m0s15_err ), + .m0_rty_o( m0s15_rty ), + .m1_data_i( m1s15_data_o ), + .m1_data_o( m1s15_data_i ), + .m1_addr_i( m1s15_addr ), + .m1_sel_i( m1s15_sel ), + .m1_we_i( m1s15_we ), + .m1_cyc_i( m1s15_cyc ), + .m1_stb_i( m1s15_stb ), + .m1_ack_o( m1s15_ack ), + .m1_err_o( m1s15_err ), + .m1_rty_o( m1s15_rty ), + .m2_data_i( m2s15_data_o ), + .m2_data_o( m2s15_data_i ), + .m2_addr_i( m2s15_addr ), + .m2_sel_i( m2s15_sel ), + .m2_we_i( m2s15_we ), + .m2_cyc_i( m2s15_cyc ), + .m2_stb_i( m2s15_stb ), + .m2_ack_o( m2s15_ack ), + .m2_err_o( m2s15_err ), + .m2_rty_o( m2s15_rty ), + .m3_data_i( m3s15_data_o ), + .m3_data_o( m3s15_data_i ), + .m3_addr_i( m3s15_addr ), + .m3_sel_i( m3s15_sel ), + .m3_we_i( m3s15_we ), + .m3_cyc_i( m3s15_cyc ), + .m3_stb_i( m3s15_stb ), + .m3_ack_o( m3s15_ack ), + .m3_err_o( m3s15_err ), + .m3_rty_o( m3s15_rty ), + .m4_data_i( m4s15_data_o ), + .m4_data_o( m4s15_data_i ), + .m4_addr_i( m4s15_addr ), + .m4_sel_i( m4s15_sel ), + .m4_we_i( m4s15_we ), + .m4_cyc_i( m4s15_cyc ), + .m4_stb_i( m4s15_stb ), + .m4_ack_o( m4s15_ack ), + .m4_err_o( m4s15_err ), + .m4_rty_o( m4s15_rty ), + .m5_data_i( m5s15_data_o ), + .m5_data_o( m5s15_data_i ), + .m5_addr_i( m5s15_addr ), + .m5_sel_i( m5s15_sel ), + .m5_we_i( m5s15_we ), + .m5_cyc_i( m5s15_cyc ), + .m5_stb_i( m5s15_stb ), + .m5_ack_o( m5s15_ack ), + .m5_err_o( m5s15_err ), + .m5_rty_o( m5s15_rty ), + .m6_data_i( m6s15_data_o ), + .m6_data_o( m6s15_data_i ), + .m6_addr_i( m6s15_addr ), + .m6_sel_i( m6s15_sel ), + .m6_we_i( m6s15_we ), + .m6_cyc_i( m6s15_cyc ), + .m6_stb_i( m6s15_stb ), + .m6_ack_o( m6s15_ack ), + .m6_err_o( m6s15_err ), + .m6_rty_o( m6s15_rty ), + .m7_data_i( m7s15_data_o ), + .m7_data_o( m7s15_data_i ), + .m7_addr_i( m7s15_addr ), + .m7_sel_i( m7s15_sel ), + .m7_we_i( m7s15_we ), + .m7_cyc_i( m7s15_cyc ), + .m7_stb_i( m7s15_stb ), + .m7_ack_o( m7s15_ack ), + .m7_err_o( m7s15_err ), + .m7_rty_o( m7s15_rty ) + ); + +wb_conmax_rf #(rf_addr,aw,dw,sw) rf( + .clk_i( clk_i ), + .rst_i( rst_i ), + .i_wb_data_i( i_s15_data_o ), + .i_wb_data_o( i_s15_data_i ), + .i_wb_addr_i( i_s15_addr_o ), + .i_wb_sel_i( i_s15_sel_o ), + .i_wb_we_i( i_s15_we_o ), + .i_wb_cyc_i( i_s15_cyc_o ), + .i_wb_stb_i( i_s15_stb_o ), + .i_wb_ack_o( i_s15_ack_i ), + .i_wb_err_o( i_s15_err_i ), + .i_wb_rty_o( i_s15_rty_i ), + + .e_wb_data_i( s15_data_i ), + .e_wb_data_o( s15_data_o ), + .e_wb_addr_o( s15_addr_o ), + .e_wb_sel_o( s15_sel_o ), + .e_wb_we_o( s15_we_o ), + .e_wb_cyc_o( s15_cyc_o ), + .e_wb_stb_o( s15_stb_o ), + .e_wb_ack_i( s15_ack_i ), + .e_wb_err_i( s15_err_i ), + .e_wb_rty_i( s15_rty_i ), + + .conf0( conf0 ), + .conf1( conf1 ), + .conf2( conf2 ), + .conf3( conf3 ), + .conf4( conf4 ), + .conf5( conf5 ), + .conf6( conf6 ), + .conf7( conf7 ), + .conf8( conf8 ), + .conf9( conf9 ), + .conf10( conf10 ), + .conf11( conf11 ), + .conf12( conf12 ), + .conf13( conf13 ), + .conf14( conf14 ), + .conf15( conf15 ) + ); +endmodule + Index: tags/start/rtl/verilog/wb_conmax_arb.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_arb.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_arb.v (revision 3) @@ -0,0 +1,260 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// General Round Robin Arbiter //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_arb.v,v 1.1.1.1 2001-10-19 11:01:40 rudi Exp $ +// +// $Date: 2001-10-19 11:01:40 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_arb(clk, rst, req, gnt, next); + +input clk; +input rst; +input [7:0] req; // Req input +output [2:0] gnt; // Grant output +input next; // Next Target + +/////////////////////////////////////////////////////////////////////// +// +// Parameters +// + +parameter [2:0] + grant0 = 3'h0, + grant1 = 3'h1, + grant2 = 3'h2, + grant3 = 3'h3, + grant4 = 3'h4, + grant5 = 3'h5, + grant6 = 3'h6, + grant7 = 3'h7; + +/////////////////////////////////////////////////////////////////////// +// +// Local Registers and Wires +// + +reg [2:0] state, next_state; + +/////////////////////////////////////////////////////////////////////// +// +// Misc Logic +// + +assign gnt = state; + +always@(posedge clk or posedge rst) + if(rst) state <= #1 grant0; + else state <= #1 next_state; + +/////////////////////////////////////////////////////////////////////// +// +// Next State Logic +// - implements round robin arbitration algorithm +// - switches grant if current req is dropped or next is asserted +// - parks at last grant +// + +always@(state or req or next) + begin + next_state = state; // Default Keep State + case(state) // synopsys parallel_case full_case + grant0: + // if this req is dropped or next is asserted, check for other req's + if(!req[0] | next) + begin + if(req[1]) next_state = grant1; + else + if(req[2]) next_state = grant2; + else + if(req[3]) next_state = grant3; + else + if(req[4]) next_state = grant4; + else + if(req[5]) next_state = grant5; + else + if(req[6]) next_state = grant6; + else + if(req[7]) next_state = grant7; + end + grant1: + // if this req is dropped or next is asserted, check for other req's + if(!req[1] | next) + begin + if(req[2]) next_state = grant2; + else + if(req[3]) next_state = grant3; + else + if(req[4]) next_state = grant4; + else + if(req[5]) next_state = grant5; + else + if(req[6]) next_state = grant6; + else + if(req[7]) next_state = grant7; + else + if(req[0]) next_state = grant0; + end + grant2: + // if this req is dropped or next is asserted, check for other req's + if(!req[2] | next) + begin + if(req[3]) next_state = grant3; + else + if(req[4]) next_state = grant4; + else + if(req[5]) next_state = grant5; + else + if(req[6]) next_state = grant6; + else + if(req[7]) next_state = grant7; + else + if(req[0]) next_state = grant0; + else + if(req[1]) next_state = grant1; + end + grant3: + // if this req is dropped or next is asserted, check for other req's + if(!req[3] | next) + begin + if(req[4]) next_state = grant4; + else + if(req[5]) next_state = grant5; + else + if(req[6]) next_state = grant6; + else + if(req[7]) next_state = grant7; + else + if(req[0]) next_state = grant0; + else + if(req[1]) next_state = grant1; + else + if(req[2]) next_state = grant2; + end + grant4: + // if this req is dropped or next is asserted, check for other req's + if(!req[4] | next) + begin + if(req[5]) next_state = grant5; + else + if(req[6]) next_state = grant6; + else + if(req[7]) next_state = grant7; + else + if(req[0]) next_state = grant0; + else + if(req[1]) next_state = grant1; + else + if(req[2]) next_state = grant2; + else + if(req[3]) next_state = grant3; + end + grant5: + // if this req is dropped or next is asserted, check for other req's + if(!req[5] | next) + begin + if(req[6]) next_state = grant6; + else + if(req[7]) next_state = grant7; + else + if(req[0]) next_state = grant0; + else + if(req[1]) next_state = grant1; + else + if(req[2]) next_state = grant2; + else + if(req[3]) next_state = grant3; + else + if(req[4]) next_state = grant4; + end + grant6: + // if this req is dropped or next is asserted, check for other req's + if(!req[6] | next) + begin + if(req[7]) next_state = grant7; + else + if(req[0]) next_state = grant0; + else + if(req[1]) next_state = grant1; + else + if(req[2]) next_state = grant2; + else + if(req[3]) next_state = grant3; + else + if(req[4]) next_state = grant4; + else + if(req[5]) next_state = grant5; + end + grant7: + // if this req is dropped or next is asserted, check for other req's + if(!req[7] | next) + begin + if(req[0]) next_state = grant0; + else + if(req[1]) next_state = grant1; + else + if(req[2]) next_state = grant2; + else + if(req[3]) next_state = grant3; + else + if(req[4]) next_state = grant4; + else + if(req[5]) next_state = grant5; + else + if(req[6]) next_state = grant6; + end + endcase + end + +endmodule + Index: tags/start/rtl/verilog/wb_conmax_pri_dec.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_pri_dec.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_pri_dec.v (revision 3) @@ -0,0 +1,112 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Priority Decoder //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_pri_dec.v,v 1.1.1.1 2001-10-19 11:01:42 rudi Exp $ +// +// $Date: 2001-10-19 11:01:42 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_pri_dec(valid, pri_in, pri_out); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter [1:0] pri_sel = 2'd0; + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input valid; +input [1:0] pri_in; +output [3:0] pri_out; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +wire [3:0] pri_out; +reg [3:0] pri_out_d0; +reg [3:0] pri_out_d1; + +//////////////////////////////////////////////////////////////////// +// +// Priority Decoder +// + +// 4 Priority Levels +always @(valid or pri_in) + if(!valid) pri_out_d1 = 4'b0001; + else + if(pri_in==2'h0) pri_out_d1 = 4'b0001; + else + if(pri_in==2'h1) pri_out_d1 = 4'b0010; + else + if(pri_in==2'h2) pri_out_d1 = 4'b0100; + else pri_out_d1 = 4'b1000; + +// 2 Priority Levels +always @(valid or pri_in) + if(!valid) pri_out_d0 = 4'b0001; + else + if(pri_in==2'h0) pri_out_d0 = 4'b0001; + else pri_out_d0 = 4'b0010; + +// Select Configured Priority + +assign pri_out = (pri_sel==2'd0) ? 4'h0 : ( (pri_sel==1'd1) ? pri_out_d0 : pri_out_d1 ); + +endmodule + Index: tags/start/rtl/verilog/wb_conmax_rf.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_rf.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_rf.v (revision 3) @@ -0,0 +1,304 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Register File //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_ic/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_rf.v,v 1.1.1.1 2001-10-19 11:01:42 rudi Exp $ +// +// $Date: 2001-10-19 11:01:42 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_rf( + clk_i, rst_i, + + // Internal Wishbone Interface + i_wb_data_i, i_wb_data_o, i_wb_addr_i, i_wb_sel_i, i_wb_we_i, i_wb_cyc_i, + i_wb_stb_i, i_wb_ack_o, i_wb_err_o, i_wb_rty_o, + + // External Wishbone Interface + e_wb_data_i, e_wb_data_o, e_wb_addr_o, e_wb_sel_o, e_wb_we_o, e_wb_cyc_o, + e_wb_stb_o, e_wb_ack_i, e_wb_err_i, e_wb_rty_i, + + // Configuration Registers + conf0, conf1, conf2, conf3, conf4, conf5, conf6, conf7, + conf8, conf9, conf10, conf11, conf12, conf13, conf14, conf15 + + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter [3:0] rf_addr = 4'hf; +parameter dw = 32; // Data bus Width +parameter aw = 32; // Address bus Width +parameter sw = dw / 8; // Number of Select Lines + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input clk_i, rst_i; + +// Internal Wishbone Interface +input [dw-1:0] i_wb_data_i; +output [dw-1:0] i_wb_data_o; +input [aw-1:0] i_wb_addr_i; +input [sw-1:0] i_wb_sel_i; +input i_wb_we_i; +input i_wb_cyc_i; +input i_wb_stb_i; +output i_wb_ack_o; +output i_wb_err_o; +output i_wb_rty_o; + +// External Wishbone Interface +input [dw-1:0] e_wb_data_i; +output [dw-1:0] e_wb_data_o; +output [aw-1:0] e_wb_addr_o; +output [sw-1:0] e_wb_sel_o; +output e_wb_we_o; +output e_wb_cyc_o; +output e_wb_stb_o; +input e_wb_ack_i; +input e_wb_err_i; +input e_wb_rty_i; + +// Configuration Registers +output [15:0] conf0; +output [15:0] conf1; +output [15:0] conf2; +output [15:0] conf3; +output [15:0] conf4; +output [15:0] conf5; +output [15:0] conf6; +output [15:0] conf7; +output [15:0] conf8; +output [15:0] conf9; +output [15:0] conf10; +output [15:0] conf11; +output [15:0] conf12; +output [15:0] conf13; +output [15:0] conf14; +output [15:0] conf15; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +reg [15:0] conf0, conf1, conf2, conf3, conf4, conf5; +reg [15:0] conf6, conf7, conf8, conf9, conf10, conf11; +reg [15:0] conf12, conf13, conf14, conf15; + +//synopsys infer_multibit "conf0" +//synopsys infer_multibit "conf1" +//synopsys infer_multibit "conf2" +//synopsys infer_multibit "conf3" +//synopsys infer_multibit "conf4" +//synopsys infer_multibit "conf5" +//synopsys infer_multibit "conf6" +//synopsys infer_multibit "conf7" +//synopsys infer_multibit "conf8" +//synopsys infer_multibit "conf9" +//synopsys infer_multibit "conf10" +//synopsys infer_multibit "conf11" +//synopsys infer_multibit "conf12" +//synopsys infer_multibit "conf13" +//synopsys infer_multibit "conf14" +//synopsys infer_multibit "conf15" + +wire rf_sel; +reg [15:0] rf_dout; +reg rf_ack; +reg rf_we; + +//////////////////////////////////////////////////////////////////// +// +// Register File Select Logic +// + +assign rf_sel = i_wb_cyc_i & i_wb_stb_i & (i_wb_addr_i[aw-5:aw-8] == rf_addr); + +//////////////////////////////////////////////////////////////////// +// +// Register File Logic +// + +always @(posedge clk_i) + rf_we <= #1 rf_sel & i_wb_we_i & !rf_we; + +always @(posedge clk_i) + rf_ack <= #1 rf_sel & !rf_ack; + +// Writre Logic +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf0 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd0) ) conf0 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf1 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd1) ) conf1 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf2 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd2) ) conf2 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf3 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd3) ) conf3 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf4 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd4) ) conf4 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf5 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd5) ) conf5 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf6 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd6) ) conf6 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf7 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd7) ) conf7 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf8 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd8) ) conf8 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf9 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd9) ) conf9 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf10 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd10) ) conf10 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf11 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd11) ) conf11 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf12 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd12) ) conf12 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf13 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd13) ) conf13 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf14 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd14) ) conf14 <= #1 i_wb_data_i[15:0]; + +always @(posedge clk_i or posedge rst_i) + if(rst_i) conf15 <= #1 16'h0; + else + if(rf_we & (i_wb_addr_i[5:2] == 4'd15) ) conf15 <= #1 i_wb_data_i[15:0]; + +// Read Logic +always @(posedge clk_i) + if(!rf_sel) rf_dout <= #1 16'h0; + else + case(i_wb_addr_i[5:2]) + 4'd0: rf_dout <= #1 conf0; + 4'd1: rf_dout <= #1 conf1; + 4'd2: rf_dout <= #1 conf2; + 4'd3: rf_dout <= #1 conf3; + 4'd4: rf_dout <= #1 conf4; + 4'd5: rf_dout <= #1 conf5; + 4'd6: rf_dout <= #1 conf6; + 4'd7: rf_dout <= #1 conf7; + 4'd8: rf_dout <= #1 conf8; + 4'd9: rf_dout <= #1 conf9; + 4'd10: rf_dout <= #1 conf10; + 4'd11: rf_dout <= #1 conf11; + 4'd12: rf_dout <= #1 conf12; + 4'd13: rf_dout <= #1 conf13; + 4'd14: rf_dout <= #1 conf14; + 4'd15: rf_dout <= #1 conf15; + endcase + +//////////////////////////////////////////////////////////////////// +// +// Register File By-Pass Logic +// + +assign e_wb_addr_o = i_wb_addr_i; +assign e_wb_sel_o = i_wb_sel_i; +assign e_wb_data_o = i_wb_data_i; + +assign e_wb_cyc_o = rf_sel ? 1'b0 : i_wb_cyc_i; +assign e_wb_stb_o = i_wb_stb_i; +assign e_wb_we_o = i_wb_we_i; + +assign i_wb_data_o = rf_sel ? { {aw-16{1'b0}}, rf_dout} : e_wb_data_i; +assign i_wb_ack_o = rf_sel ? rf_ack : e_wb_ack_i; +assign i_wb_err_o = rf_sel ? 1'b0 : e_wb_err_i; +assign i_wb_rty_o = rf_sel ? 1'b0 : e_wb_rty_i; + +endmodule Index: tags/start/rtl/verilog/wb_conmax_slave_if.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_slave_if.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_slave_if.v (revision 3) @@ -0,0 +1,442 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Slave Interface //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_slave_if.v,v 1.1.1.1 2001-10-19 11:01:39 rudi Exp $ +// +// $Date: 2001-10-19 11:01:39 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_slave_if( + + clk_i, rst_i, conf, + + // Slave interface + wb_data_i, wb_data_o, wb_addr_o, wb_sel_o, wb_we_o, wb_cyc_o, + wb_stb_o, wb_ack_i, wb_err_i, wb_rty_i, + + // Master 0 Interface + m0_data_i, m0_data_o, m0_addr_i, m0_sel_i, m0_we_i, m0_cyc_i, + m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o, + + // Master 1 Interface + m1_data_i, m1_data_o, m1_addr_i, m1_sel_i, m1_we_i, m1_cyc_i, + m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o, + + // Master 2 Interface + m2_data_i, m2_data_o, m2_addr_i, m2_sel_i, m2_we_i, m2_cyc_i, + m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o, + + // Master 3 Interface + m3_data_i, m3_data_o, m3_addr_i, m3_sel_i, m3_we_i, m3_cyc_i, + m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o, + + // Master 4 Interface + m4_data_i, m4_data_o, m4_addr_i, m4_sel_i, m4_we_i, m4_cyc_i, + m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o, + + // Master 5 Interface + m5_data_i, m5_data_o, m5_addr_i, m5_sel_i, m5_we_i, m5_cyc_i, + m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o, + + // Master 6 Interface + m6_data_i, m6_data_o, m6_addr_i, m6_sel_i, m6_we_i, m6_cyc_i, + m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o, + + // Master 7 Interface + m7_data_i, m7_data_o, m7_addr_i, m7_sel_i, m7_we_i, m7_cyc_i, + m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter [1:0] pri_sel = 2'd2; +parameter dw = 32; // Data bus Width +parameter aw = 32; // Address bus Width +parameter sw = dw / 8; // Number of Select Lines + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input clk_i, rst_i; +input [15:0] conf; + +// Slave Interface +input [dw-1:0] wb_data_i; +output [dw-1:0] wb_data_o; +output [aw-1:0] wb_addr_o; +output [sw-1:0] wb_sel_o; +output wb_we_o; +output wb_cyc_o; +output wb_stb_o; +input wb_ack_i; +input wb_err_i; +input wb_rty_i; + +// Master 0 Interface +input [dw-1:0] m0_data_i; +output [dw-1:0] m0_data_o; +input [aw-1:0] m0_addr_i; +input [sw-1:0] m0_sel_i; +input m0_we_i; +input m0_cyc_i; +input m0_stb_i; +output m0_ack_o; +output m0_err_o; +output m0_rty_o; + +// Master 1 Interface +input [dw-1:0] m1_data_i; +output [dw-1:0] m1_data_o; +input [aw-1:0] m1_addr_i; +input [sw-1:0] m1_sel_i; +input m1_we_i; +input m1_cyc_i; +input m1_stb_i; +output m1_ack_o; +output m1_err_o; +output m1_rty_o; + +// Master 2 Interface +input [dw-1:0] m2_data_i; +output [dw-1:0] m2_data_o; +input [aw-1:0] m2_addr_i; +input [sw-1:0] m2_sel_i; +input m2_we_i; +input m2_cyc_i; +input m2_stb_i; +output m2_ack_o; +output m2_err_o; +output m2_rty_o; + +// Master 3 Interface +input [dw-1:0] m3_data_i; +output [dw-1:0] m3_data_o; +input [aw-1:0] m3_addr_i; +input [sw-1:0] m3_sel_i; +input m3_we_i; +input m3_cyc_i; +input m3_stb_i; +output m3_ack_o; +output m3_err_o; +output m3_rty_o; + +// Master 4 Interface +input [dw-1:0] m4_data_i; +output [dw-1:0] m4_data_o; +input [aw-1:0] m4_addr_i; +input [sw-1:0] m4_sel_i; +input m4_we_i; +input m4_cyc_i; +input m4_stb_i; +output m4_ack_o; +output m4_err_o; +output m4_rty_o; + +// Master 5 Interface +input [dw-1:0] m5_data_i; +output [dw-1:0] m5_data_o; +input [aw-1:0] m5_addr_i; +input [sw-1:0] m5_sel_i; +input m5_we_i; +input m5_cyc_i; +input m5_stb_i; +output m5_ack_o; +output m5_err_o; +output m5_rty_o; + +// Master 6 Interface +input [dw-1:0] m6_data_i; +output [dw-1:0] m6_data_o; +input [aw-1:0] m6_addr_i; +input [sw-1:0] m6_sel_i; +input m6_we_i; +input m6_cyc_i; +input m6_stb_i; +output m6_ack_o; +output m6_err_o; +output m6_rty_o; + +// Master 7 Interface +input [dw-1:0] m7_data_i; +output [dw-1:0] m7_data_o; +input [aw-1:0] m7_addr_i; +input [sw-1:0] m7_sel_i; +input m7_we_i; +input m7_cyc_i; +input m7_stb_i; +output m7_ack_o; +output m7_err_o; +output m7_rty_o; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +reg [aw-1:0] wb_addr_o; +reg [dw-1:0] wb_data_o; +reg [sw-1:0] wb_sel_o; +reg wb_we_o; +reg wb_cyc_o; +reg wb_stb_o; +wire [2:0] mast_sel_simple; +wire [2:0] mast_sel_pe; +wire [2:0] mast_sel; + +reg next; +reg m0_cyc_r, m1_cyc_r, m2_cyc_r, m3_cyc_r; +reg m4_cyc_r, m5_cyc_r, m6_cyc_r, m7_cyc_r; + +//////////////////////////////////////////////////////////////////// +// +// Select logic +// + +always @(posedge clk_i) + next <= #1 ~wb_cyc_o; + + +wb_conmax_arb arb( + .clk( clk_i ), + .rst( rst_i ), + .req( { m7_cyc_i, + m6_cyc_i, + m5_cyc_i, + m4_cyc_i, + m3_cyc_i, + m2_cyc_i, + m1_cyc_i, + m0_cyc_i } ), + .gnt( mast_sel_simple ), + .next( 1'b0 ) + ); + +wb_conmax_msel #(pri_sel) msel( + .clk_i( clk_i ), + .rst_i( rst_i ), + .conf( conf ), + .req( { m7_cyc_i, + m6_cyc_i, + m5_cyc_i, + m4_cyc_i, + m3_cyc_i, + m2_cyc_i, + m1_cyc_i, + m0_cyc_i} ), + .sel( mast_sel_pe ), + .next( next ) + ); + +assign mast_sel = (pri_sel == 2'd0) ? mast_sel_simple : mast_sel_pe; + +//////////////////////////////////////////////////////////////////// +// +// Address & Data Pass +// + +always @(mast_sel or m0_addr_i or m1_addr_i or m2_addr_i or m3_addr_i + or m4_addr_i or m5_addr_i or m6_addr_i or m7_addr_i) + case(mast_sel) // synopsys parallel_case + 3'd0: wb_addr_o = m0_addr_i; + 3'd1: wb_addr_o = m1_addr_i; + 3'd2: wb_addr_o = m2_addr_i; + 3'd3: wb_addr_o = m3_addr_i; + 3'd4: wb_addr_o = m4_addr_i; + 3'd5: wb_addr_o = m5_addr_i; + 3'd6: wb_addr_o = m6_addr_i; + 3'd7: wb_addr_o = m7_addr_i; + default: wb_addr_o = {aw{1'bx}}; + endcase + +always @(mast_sel or m0_sel_i or m1_sel_i or m2_sel_i or m3_sel_i + or m4_sel_i or m5_sel_i or m6_sel_i or m7_sel_i) + case(mast_sel) // synopsys parallel_case + 3'd0: wb_sel_o = m0_sel_i; + 3'd1: wb_sel_o = m1_sel_i; + 3'd2: wb_sel_o = m2_sel_i; + 3'd3: wb_sel_o = m3_sel_i; + 3'd4: wb_sel_o = m4_sel_i; + 3'd5: wb_sel_o = m5_sel_i; + 3'd6: wb_sel_o = m6_sel_i; + 3'd7: wb_sel_o = m7_sel_i; + default: wb_sel_o = {sw{1'bx}}; + endcase + +always @(mast_sel or m0_data_i or m1_data_i or m2_data_i or m3_data_i + or m4_data_i or m5_data_i or m6_data_i or m7_data_i) + case(mast_sel) // synopsys parallel_case + 3'd0: wb_data_o = m0_data_i; + 3'd1: wb_data_o = m1_data_i; + 3'd2: wb_data_o = m2_data_i; + 3'd3: wb_data_o = m3_data_i; + 3'd4: wb_data_o = m4_data_i; + 3'd5: wb_data_o = m5_data_i; + 3'd6: wb_data_o = m6_data_i; + 3'd7: wb_data_o = m7_data_i; + default: wb_data_o = {dw{1'bx}}; + endcase + +assign m0_data_o = wb_data_i; +assign m1_data_o = wb_data_i; +assign m2_data_o = wb_data_i; +assign m3_data_o = wb_data_i; +assign m4_data_o = wb_data_i; +assign m5_data_o = wb_data_i; +assign m6_data_o = wb_data_i; +assign m7_data_o = wb_data_i; + +//////////////////////////////////////////////////////////////////// +// +// Control Signal Pass +// + +always @(mast_sel or m0_we_i or m1_we_i or m2_we_i or m3_we_i + or m4_we_i or m5_we_i or m6_we_i or m7_we_i) + case(mast_sel) // synopsys parallel_case + 3'd0: wb_we_o = m0_we_i; + 3'd1: wb_we_o = m1_we_i; + 3'd2: wb_we_o = m2_we_i; + 3'd3: wb_we_o = m3_we_i; + 3'd4: wb_we_o = m4_we_i; + 3'd5: wb_we_o = m5_we_i; + 3'd6: wb_we_o = m6_we_i; + 3'd7: wb_we_o = m7_we_i; + default: wb_we_o = 1'bx; + endcase + +always @(posedge clk_i) + m0_cyc_r <= #1 m0_cyc_i; + +always @(posedge clk_i) + m1_cyc_r <= #1 m1_cyc_i; + +always @(posedge clk_i) + m2_cyc_r <= #1 m2_cyc_i; + +always @(posedge clk_i) + m3_cyc_r <= #1 m3_cyc_i; + +always @(posedge clk_i) + m4_cyc_r <= #1 m4_cyc_i; + +always @(posedge clk_i) + m5_cyc_r <= #1 m5_cyc_i; + +always @(posedge clk_i) + m6_cyc_r <= #1 m6_cyc_i; + +always @(posedge clk_i) + m7_cyc_r <= #1 m7_cyc_i; + +always @(mast_sel or m0_cyc_i or m1_cyc_i or m2_cyc_i or m3_cyc_i + or m4_cyc_i or m5_cyc_i or m6_cyc_i or m7_cyc_i + or m0_cyc_r or m1_cyc_r or m2_cyc_r or m3_cyc_r + or m4_cyc_r or m5_cyc_r or m6_cyc_r or m7_cyc_r) + case(mast_sel) // synopsys parallel_case + 3'd0: wb_cyc_o = m0_cyc_i & m0_cyc_r; + 3'd1: wb_cyc_o = m1_cyc_i & m1_cyc_r; + 3'd2: wb_cyc_o = m2_cyc_i & m2_cyc_r; + 3'd3: wb_cyc_o = m3_cyc_i & m3_cyc_r; + 3'd4: wb_cyc_o = m4_cyc_i & m4_cyc_r; + 3'd5: wb_cyc_o = m5_cyc_i & m5_cyc_r; + 3'd6: wb_cyc_o = m6_cyc_i & m6_cyc_r; + 3'd7: wb_cyc_o = m7_cyc_i & m7_cyc_r; + default: wb_cyc_o = 1'b0; + endcase + +always @(mast_sel or m0_stb_i or m1_stb_i or m2_stb_i or m3_stb_i + or m4_stb_i or m5_stb_i or m6_stb_i or m7_stb_i) + case(mast_sel) // synopsys parallel_case + 3'd0: wb_stb_o = m0_stb_i; + 3'd1: wb_stb_o = m1_stb_i; + 3'd2: wb_stb_o = m2_stb_i; + 3'd3: wb_stb_o = m3_stb_i; + 3'd4: wb_stb_o = m4_stb_i; + 3'd5: wb_stb_o = m5_stb_i; + 3'd6: wb_stb_o = m6_stb_i; + 3'd7: wb_stb_o = m7_stb_i; + default: wb_stb_o = 1'b0; + endcase + +assign m0_ack_o = (mast_sel==3'd0) & wb_ack_i; +assign m1_ack_o = (mast_sel==3'd1) & wb_ack_i; +assign m2_ack_o = (mast_sel==3'd2) & wb_ack_i; +assign m3_ack_o = (mast_sel==3'd3) & wb_ack_i; +assign m4_ack_o = (mast_sel==3'd4) & wb_ack_i; +assign m5_ack_o = (mast_sel==3'd5) & wb_ack_i; +assign m6_ack_o = (mast_sel==3'd6) & wb_ack_i; +assign m7_ack_o = (mast_sel==3'd7) & wb_ack_i; + +assign m0_err_o = (mast_sel==3'd0) & wb_err_i; +assign m1_err_o = (mast_sel==3'd1) & wb_err_i; +assign m2_err_o = (mast_sel==3'd2) & wb_err_i; +assign m3_err_o = (mast_sel==3'd3) & wb_err_i; +assign m4_err_o = (mast_sel==3'd4) & wb_err_i; +assign m5_err_o = (mast_sel==3'd5) & wb_err_i; +assign m6_err_o = (mast_sel==3'd6) & wb_err_i; +assign m7_err_o = (mast_sel==3'd7) & wb_err_i; + +assign m0_rty_o = (mast_sel==3'd0) & wb_rty_i; +assign m1_rty_o = (mast_sel==3'd1) & wb_rty_i; +assign m2_rty_o = (mast_sel==3'd2) & wb_rty_i; +assign m3_rty_o = (mast_sel==3'd3) & wb_rty_i; +assign m4_rty_o = (mast_sel==3'd4) & wb_rty_i; +assign m5_rty_o = (mast_sel==3'd5) & wb_rty_i; +assign m6_rty_o = (mast_sel==3'd6) & wb_rty_i; +assign m7_rty_o = (mast_sel==3'd7) & wb_rty_i; + +endmodule + Index: tags/start/rtl/verilog/wb_conmax_master_if.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_master_if.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_master_if.v (revision 3) @@ -0,0 +1,578 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Master Interface //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_master_if.v,v 1.1.1.1 2001-10-19 11:01:41 rudi Exp $ +// +// $Date: 2001-10-19 11:01:41 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// + +`include "wb_conmax_defines.v" + +module wb_conmax_master_if( + + clk_i, rst_i, + + // Master interface + wb_data_i, wb_data_o, wb_addr_i, wb_sel_i, wb_we_i, wb_cyc_i, + wb_stb_i, wb_ack_o, wb_err_o, wb_rty_o, + + // Slave 0 Interface + s0_data_i, s0_data_o, s0_addr_o, s0_sel_o, s0_we_o, s0_cyc_o, + s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i, + + // Slave 1 Interface + s1_data_i, s1_data_o, s1_addr_o, s1_sel_o, s1_we_o, s1_cyc_o, + s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i, + + // Slave 2 Interface + s2_data_i, s2_data_o, s2_addr_o, s2_sel_o, s2_we_o, s2_cyc_o, + s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i, + + // Slave 3 Interface + s3_data_i, s3_data_o, s3_addr_o, s3_sel_o, s3_we_o, s3_cyc_o, + s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i, + + // Slave 4 Interface + s4_data_i, s4_data_o, s4_addr_o, s4_sel_o, s4_we_o, s4_cyc_o, + s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i, + + // Slave 5 Interface + s5_data_i, s5_data_o, s5_addr_o, s5_sel_o, s5_we_o, s5_cyc_o, + s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i, + + // Slave 6 Interface + s6_data_i, s6_data_o, s6_addr_o, s6_sel_o, s6_we_o, s6_cyc_o, + s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i, + + // Slave 7 Interface + s7_data_i, s7_data_o, s7_addr_o, s7_sel_o, s7_we_o, s7_cyc_o, + s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i, + + // Slave 8 Interface + s8_data_i, s8_data_o, s8_addr_o, s8_sel_o, s8_we_o, s8_cyc_o, + s8_stb_o, s8_ack_i, s8_err_i, s8_rty_i, + + // Slave 9 Interface + s9_data_i, s9_data_o, s9_addr_o, s9_sel_o, s9_we_o, s9_cyc_o, + s9_stb_o, s9_ack_i, s9_err_i, s9_rty_i, + + // Slave 10 Interface + s10_data_i, s10_data_o, s10_addr_o, s10_sel_o, s10_we_o, s10_cyc_o, + s10_stb_o, s10_ack_i, s10_err_i, s10_rty_i, + + // Slave 11 Interface + s11_data_i, s11_data_o, s11_addr_o, s11_sel_o, s11_we_o, s11_cyc_o, + s11_stb_o, s11_ack_i, s11_err_i, s11_rty_i, + + // Slave 12 Interface + s12_data_i, s12_data_o, s12_addr_o, s12_sel_o, s12_we_o, s12_cyc_o, + s12_stb_o, s12_ack_i, s12_err_i, s12_rty_i, + + // Slave 13 Interface + s13_data_i, s13_data_o, s13_addr_o, s13_sel_o, s13_we_o, s13_cyc_o, + s13_stb_o, s13_ack_i, s13_err_i, s13_rty_i, + + // Slave 14 Interface + s14_data_i, s14_data_o, s14_addr_o, s14_sel_o, s14_we_o, s14_cyc_o, + s14_stb_o, s14_ack_i, s14_err_i, s14_rty_i, + + // Slave 15 Interface + s15_data_i, s15_data_o, s15_addr_o, s15_sel_o, s15_we_o, s15_cyc_o, + s15_stb_o, s15_ack_i, s15_err_i, s15_rty_i + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + +parameter dw = 32; // Data bus Width +parameter aw = 32; // Address bus Width +parameter sw = dw / 8; // Number of Select Lines + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input clk_i, rst_i; + +// Master Interface +input [dw-1:0] wb_data_i; +output [dw-1:0] wb_data_o; +input [aw-1:0] wb_addr_i; +input [sw-1:0] wb_sel_i; +input wb_we_i; +input wb_cyc_i; +input wb_stb_i; +output wb_ack_o; +output wb_err_o; +output wb_rty_o; + +// Slave 0 Interface +input [dw-1:0] s0_data_i; +output [dw-1:0] s0_data_o; +output [aw-1:0] s0_addr_o; +output [sw-1:0] s0_sel_o; +output s0_we_o; +output s0_cyc_o; +output s0_stb_o; +input s0_ack_i; +input s0_err_i; +input s0_rty_i; + +// Slave 1 Interface +input [dw-1:0] s1_data_i; +output [dw-1:0] s1_data_o; +output [aw-1:0] s1_addr_o; +output [sw-1:0] s1_sel_o; +output s1_we_o; +output s1_cyc_o; +output s1_stb_o; +input s1_ack_i; +input s1_err_i; +input s1_rty_i; + +// Slave 2 Interface +input [dw-1:0] s2_data_i; +output [dw-1:0] s2_data_o; +output [aw-1:0] s2_addr_o; +output [sw-1:0] s2_sel_o; +output s2_we_o; +output s2_cyc_o; +output s2_stb_o; +input s2_ack_i; +input s2_err_i; +input s2_rty_i; + +// Slave 3 Interface +input [dw-1:0] s3_data_i; +output [dw-1:0] s3_data_o; +output [aw-1:0] s3_addr_o; +output [sw-1:0] s3_sel_o; +output s3_we_o; +output s3_cyc_o; +output s3_stb_o; +input s3_ack_i; +input s3_err_i; +input s3_rty_i; + +// Slave 4 Interface +input [dw-1:0] s4_data_i; +output [dw-1:0] s4_data_o; +output [aw-1:0] s4_addr_o; +output [sw-1:0] s4_sel_o; +output s4_we_o; +output s4_cyc_o; +output s4_stb_o; +input s4_ack_i; +input s4_err_i; +input s4_rty_i; + +// Slave 5 Interface +input [dw-1:0] s5_data_i; +output [dw-1:0] s5_data_o; +output [aw-1:0] s5_addr_o; +output [sw-1:0] s5_sel_o; +output s5_we_o; +output s5_cyc_o; +output s5_stb_o; +input s5_ack_i; +input s5_err_i; +input s5_rty_i; + +// Slave 6 Interface +input [dw-1:0] s6_data_i; +output [dw-1:0] s6_data_o; +output [aw-1:0] s6_addr_o; +output [sw-1:0] s6_sel_o; +output s6_we_o; +output s6_cyc_o; +output s6_stb_o; +input s6_ack_i; +input s6_err_i; +input s6_rty_i; + +// Slave 7 Interface +input [dw-1:0] s7_data_i; +output [dw-1:0] s7_data_o; +output [aw-1:0] s7_addr_o; +output [sw-1:0] s7_sel_o; +output s7_we_o; +output s7_cyc_o; +output s7_stb_o; +input s7_ack_i; +input s7_err_i; +input s7_rty_i; + +// Slave 8 Interface +input [dw-1:0] s8_data_i; +output [dw-1:0] s8_data_o; +output [aw-1:0] s8_addr_o; +output [sw-1:0] s8_sel_o; +output s8_we_o; +output s8_cyc_o; +output s8_stb_o; +input s8_ack_i; +input s8_err_i; +input s8_rty_i; + +// Slave 9 Interface +input [dw-1:0] s9_data_i; +output [dw-1:0] s9_data_o; +output [aw-1:0] s9_addr_o; +output [sw-1:0] s9_sel_o; +output s9_we_o; +output s9_cyc_o; +output s9_stb_o; +input s9_ack_i; +input s9_err_i; +input s9_rty_i; + +// Slave 10 Interface +input [dw-1:0] s10_data_i; +output [dw-1:0] s10_data_o; +output [aw-1:0] s10_addr_o; +output [sw-1:0] s10_sel_o; +output s10_we_o; +output s10_cyc_o; +output s10_stb_o; +input s10_ack_i; +input s10_err_i; +input s10_rty_i; + +// Slave 11 Interface +input [dw-1:0] s11_data_i; +output [dw-1:0] s11_data_o; +output [aw-1:0] s11_addr_o; +output [sw-1:0] s11_sel_o; +output s11_we_o; +output s11_cyc_o; +output s11_stb_o; +input s11_ack_i; +input s11_err_i; +input s11_rty_i; + +// Slave 12 Interface +input [dw-1:0] s12_data_i; +output [dw-1:0] s12_data_o; +output [aw-1:0] s12_addr_o; +output [sw-1:0] s12_sel_o; +output s12_we_o; +output s12_cyc_o; +output s12_stb_o; +input s12_ack_i; +input s12_err_i; +input s12_rty_i; + +// Slave 13 Interface +input [dw-1:0] s13_data_i; +output [dw-1:0] s13_data_o; +output [aw-1:0] s13_addr_o; +output [sw-1:0] s13_sel_o; +output s13_we_o; +output s13_cyc_o; +output s13_stb_o; +input s13_ack_i; +input s13_err_i; +input s13_rty_i; + +// Slave 14 Interface +input [dw-1:0] s14_data_i; +output [dw-1:0] s14_data_o; +output [aw-1:0] s14_addr_o; +output [sw-1:0] s14_sel_o; +output s14_we_o; +output s14_cyc_o; +output s14_stb_o; +input s14_ack_i; +input s14_err_i; +input s14_rty_i; + +// Slave 15 Interface +input [dw-1:0] s15_data_i; +output [dw-1:0] s15_data_o; +output [aw-1:0] s15_addr_o; +output [sw-1:0] s15_sel_o; +output s15_we_o; +output s15_cyc_o; +output s15_stb_o; +input s15_ack_i; +input s15_err_i; +input s15_rty_i; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +reg [dw-1:0] wb_data_o; +reg wb_ack_o; +reg wb_err_o; +reg wb_rty_o; +wire [3:0] slv_sel; + +//////////////////////////////////////////////////////////////////// +// +// Select logic +// + +assign slv_sel = wb_addr_i[aw-1:aw-4]; + +//////////////////////////////////////////////////////////////////// +// +// Address & Data Pass +// + +assign s0_addr_o = wb_addr_i; +assign s1_addr_o = wb_addr_i; +assign s2_addr_o = wb_addr_i; +assign s3_addr_o = wb_addr_i; +assign s4_addr_o = wb_addr_i; +assign s5_addr_o = wb_addr_i; +assign s6_addr_o = wb_addr_i; +assign s7_addr_o = wb_addr_i; +assign s8_addr_o = wb_addr_i; +assign s9_addr_o = wb_addr_i; +assign s10_addr_o = wb_addr_i; +assign s11_addr_o = wb_addr_i; +assign s12_addr_o = wb_addr_i; +assign s13_addr_o = wb_addr_i; +assign s14_addr_o = wb_addr_i; +assign s15_addr_o = wb_addr_i; + +assign s0_sel_o = wb_sel_i; +assign s1_sel_o = wb_sel_i; +assign s2_sel_o = wb_sel_i; +assign s3_sel_o = wb_sel_i; +assign s4_sel_o = wb_sel_i; +assign s5_sel_o = wb_sel_i; +assign s6_sel_o = wb_sel_i; +assign s7_sel_o = wb_sel_i; +assign s8_sel_o = wb_sel_i; +assign s9_sel_o = wb_sel_i; +assign s10_sel_o = wb_sel_i; +assign s11_sel_o = wb_sel_i; +assign s12_sel_o = wb_sel_i; +assign s13_sel_o = wb_sel_i; +assign s14_sel_o = wb_sel_i; +assign s15_sel_o = wb_sel_i; + +assign s0_data_o = wb_data_i; +assign s1_data_o = wb_data_i; +assign s2_data_o = wb_data_i; +assign s3_data_o = wb_data_i; +assign s4_data_o = wb_data_i; +assign s5_data_o = wb_data_i; +assign s6_data_o = wb_data_i; +assign s7_data_o = wb_data_i; +assign s8_data_o = wb_data_i; +assign s9_data_o = wb_data_i; +assign s10_data_o = wb_data_i; +assign s11_data_o = wb_data_i; +assign s12_data_o = wb_data_i; +assign s13_data_o = wb_data_i; +assign s14_data_o = wb_data_i; +assign s15_data_o = wb_data_i; + +always @(slv_sel or s0_data_i or s1_data_i or s2_data_i or s3_data_i or + s4_data_i or s5_data_i or s6_data_i or s7_data_i or s8_data_i or + s9_data_i or s10_data_i or s11_data_i or s12_data_i or + s13_data_i or s14_data_i or s15_data_i) + case(slv_sel) // synopsys parallel_case + 4'd0: wb_data_o = s0_data_i; + 4'd1: wb_data_o = s1_data_i; + 4'd2: wb_data_o = s2_data_i; + 4'd3: wb_data_o = s3_data_i; + 4'd4: wb_data_o = s4_data_i; + 4'd5: wb_data_o = s5_data_i; + 4'd6: wb_data_o = s6_data_i; + 4'd7: wb_data_o = s7_data_i; + 4'd8: wb_data_o = s8_data_i; + 4'd9: wb_data_o = s9_data_i; + 4'd10: wb_data_o = s10_data_i; + 4'd11: wb_data_o = s11_data_i; + 4'd12: wb_data_o = s12_data_i; + 4'd13: wb_data_o = s13_data_i; + 4'd14: wb_data_o = s14_data_i; + 4'd15: wb_data_o = s15_data_i; + default: wb_data_o = {dw{1'bx}}; + endcase + +//////////////////////////////////////////////////////////////////// +// +// Control Signal Pass +// + +assign s0_we_o = wb_we_i; +assign s1_we_o = wb_we_i; +assign s2_we_o = wb_we_i; +assign s3_we_o = wb_we_i; +assign s4_we_o = wb_we_i; +assign s5_we_o = wb_we_i; +assign s6_we_o = wb_we_i; +assign s7_we_o = wb_we_i; +assign s8_we_o = wb_we_i; +assign s9_we_o = wb_we_i; +assign s10_we_o = wb_we_i; +assign s11_we_o = wb_we_i; +assign s12_we_o = wb_we_i; +assign s13_we_o = wb_we_i; +assign s14_we_o = wb_we_i; +assign s15_we_o = wb_we_i; + +assign s0_cyc_o = (wb_cyc_i & !wb_stb_i) ? s0_cyc_o : ((slv_sel==4'd0) ? wb_cyc_i : 1'b0); +assign s1_cyc_o = (wb_cyc_i & !wb_stb_i) ? s1_cyc_o : ((slv_sel==4'd1) ? wb_cyc_i : 1'b0); +assign s2_cyc_o = (wb_cyc_i & !wb_stb_i) ? s2_cyc_o : ((slv_sel==4'd2) ? wb_cyc_i : 1'b0); +assign s3_cyc_o = (wb_cyc_i & !wb_stb_i) ? s3_cyc_o : ((slv_sel==4'd3) ? wb_cyc_i : 1'b0); +assign s4_cyc_o = (wb_cyc_i & !wb_stb_i) ? s4_cyc_o : ((slv_sel==4'd4) ? wb_cyc_i : 1'b0); +assign s5_cyc_o = (wb_cyc_i & !wb_stb_i) ? s5_cyc_o : ((slv_sel==4'd5) ? wb_cyc_i : 1'b0); +assign s6_cyc_o = (wb_cyc_i & !wb_stb_i) ? s6_cyc_o : ((slv_sel==4'd6) ? wb_cyc_i : 1'b0); +assign s7_cyc_o = (wb_cyc_i & !wb_stb_i) ? s7_cyc_o : ((slv_sel==4'd7) ? wb_cyc_i : 1'b0); +assign s8_cyc_o = (wb_cyc_i & !wb_stb_i) ? s8_cyc_o : ((slv_sel==4'd8) ? wb_cyc_i : 1'b0); +assign s9_cyc_o = (wb_cyc_i & !wb_stb_i) ? s9_cyc_o : ((slv_sel==4'd9) ? wb_cyc_i : 1'b0); +assign s10_cyc_o = (wb_cyc_i & !wb_stb_i) ? s10_cyc_o : ((slv_sel==4'd10) ? wb_cyc_i : 1'b0); +assign s11_cyc_o = (wb_cyc_i & !wb_stb_i) ? s11_cyc_o : ((slv_sel==4'd11) ? wb_cyc_i : 1'b0); +assign s12_cyc_o = (wb_cyc_i & !wb_stb_i) ? s12_cyc_o : ((slv_sel==4'd12) ? wb_cyc_i : 1'b0); +assign s13_cyc_o = (wb_cyc_i & !wb_stb_i) ? s13_cyc_o : ((slv_sel==4'd13) ? wb_cyc_i : 1'b0); +assign s14_cyc_o = (wb_cyc_i & !wb_stb_i) ? s14_cyc_o : ((slv_sel==4'd14) ? wb_cyc_i : 1'b0); +assign s15_cyc_o = (wb_cyc_i & !wb_stb_i) ? s15_cyc_o : ((slv_sel==4'd15) ? wb_cyc_i : 1'b0); + +assign s0_stb_o = (slv_sel==4'd0) ? wb_stb_i : 1'b0; +assign s1_stb_o = (slv_sel==4'd1) ? wb_stb_i : 1'b0; +assign s2_stb_o = (slv_sel==4'd2) ? wb_stb_i : 1'b0; +assign s3_stb_o = (slv_sel==4'd3) ? wb_stb_i : 1'b0; +assign s4_stb_o = (slv_sel==4'd4) ? wb_stb_i : 1'b0; +assign s5_stb_o = (slv_sel==4'd5) ? wb_stb_i : 1'b0; +assign s6_stb_o = (slv_sel==4'd6) ? wb_stb_i : 1'b0; +assign s7_stb_o = (slv_sel==4'd7) ? wb_stb_i : 1'b0; +assign s8_stb_o = (slv_sel==4'd8) ? wb_stb_i : 1'b0; +assign s9_stb_o = (slv_sel==4'd9) ? wb_stb_i : 1'b0; +assign s10_stb_o = (slv_sel==4'd10) ? wb_stb_i : 1'b0; +assign s11_stb_o = (slv_sel==4'd11) ? wb_stb_i : 1'b0; +assign s12_stb_o = (slv_sel==4'd12) ? wb_stb_i : 1'b0; +assign s13_stb_o = (slv_sel==4'd13) ? wb_stb_i : 1'b0; +assign s14_stb_o = (slv_sel==4'd14) ? wb_stb_i : 1'b0; +assign s15_stb_o = (slv_sel==4'd15) ? wb_stb_i : 1'b0; + +always @(slv_sel or s0_ack_i or s1_ack_i or s2_ack_i or s3_ack_i or + s4_ack_i or s5_ack_i or s6_ack_i or s7_ack_i or s8_ack_i or + s9_ack_i or s10_ack_i or s11_ack_i or s12_ack_i or + s13_ack_i or s14_ack_i or s15_ack_i) + case(slv_sel) // synopsys parallel_case + 4'd0: wb_ack_o = s0_ack_i; + 4'd1: wb_ack_o = s1_ack_i; + 4'd2: wb_ack_o = s2_ack_i; + 4'd3: wb_ack_o = s3_ack_i; + 4'd4: wb_ack_o = s4_ack_i; + 4'd5: wb_ack_o = s5_ack_i; + 4'd6: wb_ack_o = s6_ack_i; + 4'd7: wb_ack_o = s7_ack_i; + 4'd8: wb_ack_o = s8_ack_i; + 4'd9: wb_ack_o = s9_ack_i; + 4'd10: wb_ack_o = s10_ack_i; + 4'd11: wb_ack_o = s11_ack_i; + 4'd12: wb_ack_o = s12_ack_i; + 4'd13: wb_ack_o = s13_ack_i; + 4'd14: wb_ack_o = s14_ack_i; + 4'd15: wb_ack_o = s15_ack_i; + default: wb_ack_o = 1'b0; + endcase + +always @(slv_sel or s0_err_i or s1_err_i or s2_err_i or s3_err_i or + s4_err_i or s5_err_i or s6_err_i or s7_err_i or s8_err_i or + s9_err_i or s10_err_i or s11_err_i or s12_err_i or + s13_err_i or s14_err_i or s15_err_i) + case(slv_sel) // synopsys parallel_case + 4'd0: wb_err_o = s0_err_i; + 4'd1: wb_err_o = s1_err_i; + 4'd2: wb_err_o = s2_err_i; + 4'd3: wb_err_o = s3_err_i; + 4'd4: wb_err_o = s4_err_i; + 4'd5: wb_err_o = s5_err_i; + 4'd6: wb_err_o = s6_err_i; + 4'd7: wb_err_o = s7_err_i; + 4'd8: wb_err_o = s8_err_i; + 4'd9: wb_err_o = s9_err_i; + 4'd10: wb_err_o = s10_err_i; + 4'd11: wb_err_o = s11_err_i; + 4'd12: wb_err_o = s12_err_i; + 4'd13: wb_err_o = s13_err_i; + 4'd14: wb_err_o = s14_err_i; + 4'd15: wb_err_o = s15_err_i; + default: wb_err_o = 1'b0; + endcase + +always @(slv_sel or s0_rty_i or s1_rty_i or s2_rty_i or s3_rty_i or + s4_rty_i or s5_rty_i or s6_rty_i or s7_rty_i or s8_rty_i or + s9_rty_i or s10_rty_i or s11_rty_i or s12_rty_i or + s13_rty_i or s14_rty_i or s15_rty_i) + case(slv_sel) // synopsys parallel_case + 4'd0: wb_rty_o = s0_rty_i; + 4'd1: wb_rty_o = s1_rty_i; + 4'd2: wb_rty_o = s2_rty_i; + 4'd3: wb_rty_o = s3_rty_i; + 4'd4: wb_rty_o = s4_rty_i; + 4'd5: wb_rty_o = s5_rty_i; + 4'd6: wb_rty_o = s6_rty_i; + 4'd7: wb_rty_o = s7_rty_i; + 4'd8: wb_rty_o = s8_rty_i; + 4'd9: wb_rty_o = s9_rty_i; + 4'd10: wb_rty_o = s10_rty_i; + 4'd11: wb_rty_o = s11_rty_i; + 4'd12: wb_rty_o = s12_rty_i; + 4'd13: wb_rty_o = s13_rty_i; + 4'd14: wb_rty_o = s14_rty_i; + 4'd15: wb_rty_o = s15_rty_i; + default: wb_rty_o = 1'b0; + endcase + +endmodule + + Index: tags/start/rtl/verilog/wb_conmax_defines.v =================================================================== --- tags/start/rtl/verilog/wb_conmax_defines.v (nonexistent) +++ tags/start/rtl/verilog/wb_conmax_defines.v (revision 3) @@ -0,0 +1,58 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Definitions //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_conmax/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_conmax_defines.v,v 1.1.1.1 2001-10-19 11:01:40 rudi Exp $ +// +// $Date: 2001-10-19 11:01:40 $ +// $Revision: 1.1.1.1 $ +// $Author: rudi $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +// +// +// +// + +`timescale 1ns / 10ps + Index: tags/start/slv3.pl =================================================================== --- tags/start/slv3.pl (nonexistent) +++ tags/start/slv3.pl (revision 3) @@ -0,0 +1,103 @@ + +for($n=0;$n<16;$n++) { + + +printf("wb_conmax_slave_if #(pri_sel%0d) s%0d(\n",$n,$n); +printf(" .clk_i( clk_i ),\n",$n); +printf(" .rst_i( rst_i ),\n",$n); +printf(" .conf( conf%0d ),\n",$n); +printf(" .wb_data_i( s%0d_data_i ),\n",$n); +printf(" .wb_data_o( s%0d_data_o ),\n",$n); +printf(" .wb_addr_o( s%0d_addr_o ),\n",$n); +printf(" .wb_sel_o( s%0d_sel_o ),\n",$n); +printf(" .wb_we_o( s%0d_we_o ),\n",$n); +printf(" .wb_cyc_o( s%0d_cyc_o ),\n",$n); +printf(" .wb_stb_o( s%0d_stb_o ),\n",$n); +printf(" .wb_ack_i( s%0d_ack_i ),\n",$n); +printf(" .wb_err_i( s%0d_err_i ),\n",$n); +printf(" .wb_rty_i( s%0d_rty_i ),\n",$n); +printf(" .m0_data_i( m0s%0d_data_o ),\n",$n); +printf(" .m0_data_o( m0s%0d_data_i ),\n",$n); +printf(" .m0_addr_i( m0s%0d_addr ),\n",$n); +printf(" .m0_sel_i( m0s%0d_sel ),\n",$n); +printf(" .m0_we_i( m0s%0d_we ),\n",$n); +printf(" .m0_cyc_i( m0s%0d_cyc ),\n",$n); +printf(" .m0_stb_i( m0s%0d_stb ),\n",$n); +printf(" .m0_ack_o( m0s%0d_ack ),\n",$n); +printf(" .m0_err_o( m0s%0d_err ),\n",$n); +printf(" .m0_rty_o( m0s%0d_rty ),\n",$n); +printf(" .m1_data_i( m1s%0d_data_o ),\n",$n); +printf(" .m1_data_o( m1s%0d_data_i ),\n",$n); +printf(" .m1_addr_i( m1s%0d_addr ),\n",$n); +printf(" .m1_sel_i( m1s%0d_sel ),\n",$n); +printf(" .m1_we_i( m1s%0d_we ),\n",$n); +printf(" .m1_cyc_i( m1s%0d_cyc ),\n",$n); +printf(" .m1_stb_i( m1s%0d_stb ),\n",$n); +printf(" .m1_ack_o( m1s%0d_ack ),\n",$n); +printf(" .m1_err_o( m1s%0d_err ),\n",$n); +printf(" .m1_rty_o( m1s%0d_rty ),\n",$n); +printf(" .m2_data_i( m2s%0d_data_o ),\n",$n); +printf(" .m2_data_o( m2s%0d_data_i ),\n",$n); +printf(" .m2_addr_i( m2s%0d_addr ),\n",$n); +printf(" .m2_sel_i( m2s%0d_sel ),\n",$n); +printf(" .m2_we_i( m2s%0d_we ),\n",$n); +printf(" .m2_cyc_i( m2s%0d_cyc ),\n",$n); +printf(" .m2_stb_i( m2s%0d_stb ),\n",$n); +printf(" .m2_ack_o( m2s%0d_ack ),\n",$n); +printf(" .m2_err_o( m2s%0d_err ),\n",$n); +printf(" .m2_rty_o( m2s%0d_rty ),\n",$n); +printf(" .m3_data_i( m3s%0d_data_o ),\n",$n); +printf(" .m3_data_o( m3s%0d_data_i ),\n",$n); +printf(" .m3_addr_i( m3s%0d_addr ),\n",$n); +printf(" .m3_sel_i( m3s%0d_sel ),\n",$n); +printf(" .m3_we_i( m3s%0d_we ),\n",$n); +printf(" .m3_cyc_i( m3s%0d_cyc ),\n",$n); +printf(" .m3_stb_i( m3s%0d_stb ),\n",$n); +printf(" .m3_ack_o( m3s%0d_ack ),\n",$n); +printf(" .m3_err_o( m3s%0d_err ),\n",$n); +printf(" .m3_rty_o( m3s%0d_rty ),\n",$n); +printf(" .m4_data_i( m4s%0d_data_o ),\n",$n); +printf(" .m4_data_o( m4s%0d_data_i ),\n",$n); +printf(" .m4_addr_i( m4s%0d_addr ),\n",$n); +printf(" .m4_sel_i( m4s%0d_sel ),\n",$n); +printf(" .m4_we_i( m4s%0d_we ),\n",$n); +printf(" .m4_cyc_i( m4s%0d_cyc ),\n",$n); +printf(" .m4_stb_i( m4s%0d_stb ),\n",$n); +printf(" .m4_ack_o( m4s%0d_ack ),\n",$n); +printf(" .m4_err_o( m4s%0d_err ),\n",$n); +printf(" .m4_rty_o( m4s%0d_rty ),\n",$n); +printf(" .m5_data_i( m5s%0d_data_o ),\n",$n); +printf(" .m5_data_o( m5s%0d_data_i ),\n",$n); +printf(" .m5_addr_i( m5s%0d_addr ),\n",$n); +printf(" .m5_sel_i( m5s%0d_sel ),\n",$n); +printf(" .m5_we_i( m5s%0d_we ),\n",$n); +printf(" .m5_cyc_i( m5s%0d_cyc ),\n",$n); +printf(" .m5_stb_i( m5s%0d_stb ),\n",$n); +printf(" .m5_ack_o( m5s%0d_ack ),\n",$n); +printf(" .m5_err_o( m5s%0d_err ),\n",$n); +printf(" .m5_rty_o( m5s%0d_rty ),\n",$n); +printf(" .m6_data_i( m6s%0d_data_o ),\n",$n); +printf(" .m6_data_o( m6s%0d_data_i ),\n",$n); +printf(" .m6_addr_i( m6s%0d_addr ),\n",$n); +printf(" .m6_sel_i( m6s%0d_sel ),\n",$n); +printf(" .m6_we_i( m6s%0d_we ),\n",$n); +printf(" .m6_cyc_i( m6s%0d_cyc ),\n",$n); +printf(" .m6_stb_i( m6s%0d_stb ),\n",$n); +printf(" .m6_ack_o( m6s%0d_ack ),\n",$n); +printf(" .m6_err_o( m6s%0d_err ),\n",$n); +printf(" .m6_rty_o( m6s%0d_rty ),\n",$n); +printf(" .m7_data_i( m7s%0d_data_o ),\n",$n); +printf(" .m7_data_o( m7s%0d_data_i ),\n",$n); +printf(" .m7_addr_i( m7s%0d_addr ),\n",$n); +printf(" .m7_sel_i( m7s%0d_sel ),\n",$n); +printf(" .m7_we_i( m7s%0d_we ),\n",$n); +printf(" .m7_cyc_i( m7s%0d_cyc ),\n",$n); +printf(" .m7_stb_i( m7s%0d_stb ),\n",$n); +printf(" .m7_ack_o( m7s%0d_ack ),\n",$n); +printf(" .m7_err_o( m7s%0d_err ),\n",$n); +printf(" .m7_rty_o( m7s%0d_rty )\n",$n); +printf(" );\n\n",$n); + + + } + Index: tags/start/doc/STATUS.txt =================================================================== --- tags/start/doc/STATUS.txt (nonexistent) +++ tags/start/doc/STATUS.txt (revision 3) @@ -0,0 +1,20 @@ +This file describes the current status of the checked in HDL code. +Please submit all bugs/comments/suggestions regarding the DMA core +to: cores@opencores.org + +Need Help +--------- +I'm looking for help in verifying the core. If you think you can help, +please send an email to the list or to me directly. +Even though I have written a test bench and a few test, I would prefer +if someone else could verify the core as well. + + +STATUS +====== + +Initial Release (10/19/2001) +--------------------------- +- No known problems +- Needs More Testing ! + Index: tags/start/doc/README.txt =================================================================== --- tags/start/doc/README.txt (nonexistent) +++ tags/start/doc/README.txt (revision 3) @@ -0,0 +1,43 @@ + +The WISHBONE CONMAX Project Page is: +http://www.opencores.org/cores/wb_conmax/ + +To find out more about me (Rudolf Usselmann), please visit: +http://www.asics.ws + +Directory Structure +------------------- +[core_root] + | + +-doc Documentation + | + +-bench--+ Test Bench + | +- verilog Verilog Sources + | +-vhdl VHDL Sources + | + +-rtl----+ Core RTL Sources + | +-verilog Verilog Sources + | +-vhdl VHDL Sources + | + +-sim----+ + | +-rtl_sim---+ Functional verification Directory + | | +-bin Makefiles/Run Scripts + | | +-run Working Directory + | | + | +-gate_sim--+ Functional & Timing Gate Level + | | Verification Directory + | +-bin Makefiles/Run Scripts + | +-run Working Directory + | + +-lint--+ Lint Directory Tree + | +-bin Makefiles/Run Scripts + | +-run Working Directory + | +-log Linter log & result files + | + +-syn---+ Synthesis Directory Tree + | +-bin Synthesis Scripts + | +-run Working Directory + | +-log Synthesis log files + | +-out Synthesis Output + + Index: tags/start/doc/conmax.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: tags/start/doc/conmax.pdf =================================================================== --- tags/start/doc/conmax.pdf (nonexistent) +++ tags/start/doc/conmax.pdf (revision 3)
tags/start/doc/conmax.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: tags/start/x =================================================================== --- tags/start/x (nonexistent) +++ tags/start/x (revision 3) @@ -0,0 +1,288 @@ +// Master 0 Interface +input [dw-1:0] m0_data_i; +output [dw-1:0] m0_data_o; +input [aw-1:0] m0_addr_i; +input [sw-1:0] m0_sel_i; +input m0_we_i; +input m0_cyc_i; +input m0_stb_i; +output m0_ack_o; +output m0_err_o; +output m0_rty_o; + +// Master 1 Interface +input [dw-1:0] m1_data_i; +output [dw-1:0] m1_data_o; +input [aw-1:0] m1_addr_i; +input [sw-1:0] m1_sel_i; +input m1_we_i; +input m1_cyc_i; +input m1_stb_i; +output m1_ack_o; +output m1_err_o; +output m1_rty_o; + +// Master 2 Interface +input [dw-1:0] m2_data_i; +output [dw-1:0] m2_data_o; +input [aw-1:0] m2_addr_i; +input [sw-1:0] m2_sel_i; +input m2_we_i; +input m2_cyc_i; +input m2_stb_i; +output m2_ack_o; +output m2_err_o; +output m2_rty_o; + +// Master 3 Interface +input [dw-1:0] m3_data_i; +output [dw-1:0] m3_data_o; +input [aw-1:0] m3_addr_i; +input [sw-1:0] m3_sel_i; +input m3_we_i; +input m3_cyc_i; +input m3_stb_i; +output m3_ack_o; +output m3_err_o; +output m3_rty_o; + +// Master 4 Interface +input [dw-1:0] m4_data_i; +output [dw-1:0] m4_data_o; +input [aw-1:0] m4_addr_i; +input [sw-1:0] m4_sel_i; +input m4_we_i; +input m4_cyc_i; +input m4_stb_i; +output m4_ack_o; +output m4_err_o; +output m4_rty_o; + +// Master 5 Interface +input [dw-1:0] m5_data_i; +output [dw-1:0] m5_data_o; +input [aw-1:0] m5_addr_i; +input [sw-1:0] m5_sel_i; +input m5_we_i; +input m5_cyc_i; +input m5_stb_i; +output m5_ack_o; +output m5_err_o; +output m5_rty_o; + +// Master 6 Interface +input [dw-1:0] m6_data_i; +output [dw-1:0] m6_data_o; +input [aw-1:0] m6_addr_i; +input [sw-1:0] m6_sel_i; +input m6_we_i; +input m6_cyc_i; +input m6_stb_i; +output m6_ack_o; +output m6_err_o; +output m6_rty_o; + +// Master 7 Interface +input [dw-1:0] m7_data_i; +output [dw-1:0] m7_data_o; +input [aw-1:0] m7_addr_i; +input [sw-1:0] m7_sel_i; +input m7_we_i; +input m7_cyc_i; +input m7_stb_i; +output m7_ack_o; +output m7_err_o; +output m7_rty_o; + +// Slave 0 Interface +input [dw-1:0] s0_data_i; +output [dw-1:0] s0_data_o; +output [aw-1:0] s0_addr_o; +output [sw-1:0] s0_sel_o; +output s0_we_o; +output s0_cyc_o; +output s0_stb_o; +input s0_ack_i; +input s0_err_i; +input s0_rty_i; + +// Slave 1 Interface +input [dw-1:0] s1_data_i; +output [dw-1:0] s1_data_o; +output [aw-1:0] s1_addr_o; +output [sw-1:0] s1_sel_o; +output s1_we_o; +output s1_cyc_o; +output s1_stb_o; +input s1_ack_i; +input s1_err_i; +input s1_rty_i; + +// Slave 2 Interface +input [dw-1:0] s2_data_i; +output [dw-1:0] s2_data_o; +output [aw-1:0] s2_addr_o; +output [sw-1:0] s2_sel_o; +output s2_we_o; +output s2_cyc_o; +output s2_stb_o; +input s2_ack_i; +input s2_err_i; +input s2_rty_i; + +// Slave 3 Interface +input [dw-1:0] s3_data_i; +output [dw-1:0] s3_data_o; +output [aw-1:0] s3_addr_o; +output [sw-1:0] s3_sel_o; +output s3_we_o; +output s3_cyc_o; +output s3_stb_o; +input s3_ack_i; +input s3_err_i; +input s3_rty_i; + +// Slave 4 Interface +input [dw-1:0] s4_data_i; +output [dw-1:0] s4_data_o; +output [aw-1:0] s4_addr_o; +output [sw-1:0] s4_sel_o; +output s4_we_o; +output s4_cyc_o; +output s4_stb_o; +input s4_ack_i; +input s4_err_i; +input s4_rty_i; + +// Slave 5 Interface +input [dw-1:0] s5_data_i; +output [dw-1:0] s5_data_o; +output [aw-1:0] s5_addr_o; +output [sw-1:0] s5_sel_o; +output s5_we_o; +output s5_cyc_o; +output s5_stb_o; +input s5_ack_i; +input s5_err_i; +input s5_rty_i; + +// Slave 6 Interface +input [dw-1:0] s6_data_i; +output [dw-1:0] s6_data_o; +output [aw-1:0] s6_addr_o; +output [sw-1:0] s6_sel_o; +output s6_we_o; +output s6_cyc_o; +output s6_stb_o; +input s6_ack_i; +input s6_err_i; +input s6_rty_i; + +// Slave 7 Interface +input [dw-1:0] s7_data_i; +output [dw-1:0] s7_data_o; +output [aw-1:0] s7_addr_o; +output [sw-1:0] s7_sel_o; +output s7_we_o; +output s7_cyc_o; +output s7_stb_o; +input s7_ack_i; +input s7_err_i; +input s7_rty_i; + +// Slave 8 Interface +input [dw-1:0] s8_data_i; +output [dw-1:0] s8_data_o; +output [aw-1:0] s8_addr_o; +output [sw-1:0] s8_sel_o; +output s8_we_o; +output s8_cyc_o; +output s8_stb_o; +input s8_ack_i; +input s8_err_i; +input s8_rty_i; + +// Slave 9 Interface +input [dw-1:0] s9_data_i; +output [dw-1:0] s9_data_o; +output [aw-1:0] s9_addr_o; +output [sw-1:0] s9_sel_o; +output s9_we_o; +output s9_cyc_o; +output s9_stb_o; +input s9_ack_i; +input s9_err_i; +input s9_rty_i; + +// Slave 10 Interface +input [dw-1:0] s10_data_i; +output [dw-1:0] s10_data_o; +output [aw-1:0] s10_addr_o; +output [sw-1:0] s10_sel_o; +output s10_we_o; +output s10_cyc_o; +output s10_stb_o; +input s10_ack_i; +input s10_err_i; +input s10_rty_i; + +// Slave 11 Interface +input [dw-1:0] s11_data_i; +output [dw-1:0] s11_data_o; +output [aw-1:0] s11_addr_o; +output [sw-1:0] s11_sel_o; +output s11_we_o; +output s11_cyc_o; +output s11_stb_o; +input s11_ack_i; +input s11_err_i; +input s11_rty_i; + +// Slave 12 Interface +input [dw-1:0] s12_data_i; +output [dw-1:0] s12_data_o; +output [aw-1:0] s12_addr_o; +output [sw-1:0] s12_sel_o; +output s12_we_o; +output s12_cyc_o; +output s12_stb_o; +input s12_ack_i; +input s12_err_i; +input s12_rty_i; + +// Slave 13 Interface +input [dw-1:0] s13_data_i; +output [dw-1:0] s13_data_o; +output [aw-1:0] s13_addr_o; +output [sw-1:0] s13_sel_o; +output s13_we_o; +output s13_cyc_o; +output s13_stb_o; +input s13_ack_i; +input s13_err_i; +input s13_rty_i; + +// Slave 14 Interface +input [dw-1:0] s14_data_i; +output [dw-1:0] s14_data_o; +output [aw-1:0] s14_addr_o; +output [sw-1:0] s14_sel_o; +output s14_we_o; +output s14_cyc_o; +output s14_stb_o; +input s14_ack_i; +input s14_err_i; +input s14_rty_i; + +// Slave 15 Interface +input [dw-1:0] s15_data_i; +output [dw-1:0] s15_data_o; +output [aw-1:0] s15_addr_o; +output [sw-1:0] s15_sel_o; +output s15_we_o; +output s15_cyc_o; +output s15_stb_o; +input s15_ack_i; +input s15_err_i; +input s15_rty_i; + Index: tags/start/sim/rtl_sim/run/.nclog =================================================================== --- tags/start/sim/rtl_sim/run/.nclog (nonexistent) +++ tags/start/sim/rtl_sim/run/.nclog (revision 3) @@ -0,0 +1,1127 @@ + +--- + + +--- + +ncsim> source /tools/LDV33linux/tools/inca/files/ncsimrc +ncsim> source /home/rudi/.ncsimrc +no +ncsim> run + + + +***************************************************** +* WISHBONE Connection Matrix Simulation started ... * +***************************************************** + + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m0) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m1) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m2) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m3) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m4) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m5) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m6) + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (test.m7) + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s0) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s1) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s2) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s3) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s4) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s5) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s6) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s7) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s8) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s9) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s10) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s11) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s12) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s13) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s14) + Memory Size 13 address lines 8192 words + + +INFO: WISHBONE MEMORY MODEL INSTANTIATED (test.s15) + Memory Size 13 address lines 8192 words + + ...................................................... + : : + : Regression Run ... : + :....................................................: + + + +***************************************************** +*** Datapath 1 Test ... *** +***************************************************** + +Mode: 0 +Mode: 1 +Mode: 2 +Mode: 3 +Mode: 4 +Mode: 5 +Mode: 6 +Mode: 7 +Mode: 8 +Mode: 9 +Mode: 10 +Mode: 11 +Mode: 12 +Mode: 13 +Mode: 14 +Mode: 15 + + + +--------------------+ + | Total ERRORS: 0 | + +--------------------+ +***************************************************** +*** Test DONE ... *** +***************************************************** + + + + + +***************************************************** +*** Register File Test ... *** +***************************************************** + +Mode: 0 +Mode: 1 +Mode: 2 +Mode: 3 +Mode: 4 + + + +--------------------+ + | Total ERRORS: 0 | + +--------------------+ +***************************************************** +*** Test DONE ... *** +***************************************************** + + + + + +***************************************************** +*** Arb. 1 Test ... *** +***************************************************** + +Delay: 0 +Delay: 1 +Delay: 2 +Delay: 3 +Delay: 4 + + + +--------------------+ + | Total ERRORS: 0 | + +--------------------+ +***************************************************** +*** Test DONE ... *** +***************************************************** + + + + + +***************************************************** +*** Arb. 2 Test ... *** +***************************************************** + +Mode: 0 del: 0, siz: 1 +Mode: 0 del: 0, siz: 2 +Mode: 0 del: 0, siz: 3 +Mode: 0 del: 0, siz: 4 +Mode: 0 del: 1, siz: 1 +Mode: 0 del: 1, siz: 2 +Mode: 0 del: 1, siz: 3 +Mode: 0 del: 1, siz: 4 +Mode: 0 del: 2, siz: 1 +Mode: 0 del: 2, siz: 2 +Mode: 0 del: 2, siz: 3 +Mode: 0 del: 2, siz: 4 +Mode: 0 del: 3, siz: 1 +Mode: 0 del: 3, siz: 2 +Mode: 0 del: 3, siz: 3 +Mode: 0 del: 3, siz: 4 +Mode: 0 del: 4, siz: 1 +Mode: 0 del: 4, siz: 2 +Mode: 0 del: 4, siz: 3 +Mode: 0 del: 4, siz: 4 +Mode: 0 del: 5, siz: 1 +Mode: 0 del: 5, siz: 2 +Mode: 0 del: 5, siz: 3 +Mode: 0 del: 5, siz: 4 +Mode: 0 del: 6, siz: 1 +Mode: 0 del: 6, siz: 2 +Mode: 0 del: 6, siz: 3 +Mode: 0 del: 6, siz: 4 +Mode: 1 del: 0, siz: 1 +Mode: 1 del: 0, siz: 2 +Mode: 1 del: 0, siz: 3 +Mode: 1 del: 0, siz: 4 +Mode: 1 del: 1, siz: 1 +Mode: 1 del: 1, siz: 2 +Mode: 1 del: 1, siz: 3 +Mode: 1 del: 1, siz: 4 +Mode: 1 del: 2, siz: 1 +Mode: 1 del: 2, siz: 2 +Mode: 1 del: 2, siz: 3 +Mode: 1 del: 2, siz: 4 +Mode: 1 del: 3, siz: 1 +Mode: 1 del: 3, siz: 2 +Mode: 1 del: 3, siz: 3 +Mode: 1 del: 3, siz: 4 +Mode: 1 del: 4, siz: 1 +Mode: 1 del: 4, siz: 2 +Mode: 1 del: 4, siz: 3 +Mode: 1 del: 4, siz: 4 +Mode: 1 del: 5, siz: 1 +Mode: 1 del: 5, siz: 2 +Mode: 1 del: 5, siz: 3 +Mode: 1 del: 5, siz: 4 +Mode: 1 del: 6, siz: 1 +Mode: 1 del: 6, siz: 2 +Mode: 1 del: 6, siz: 3 +Mode: 1 del: 6, siz: 4 +Mode: 2 del: 0, siz: 1 +Mode: 2 del: 0, siz: 2 +Mode: 2 del: 0, siz: 3 +Mode: 2 del: 0, siz: 4 +Mode: 2 del: 1, siz: 1 +Mode: 2 del: 1, siz: 2 +Mode: 2 del: 1, siz: 3 +Mode: 2 del: 1, siz: 4 +Mode: 2 del: 2, siz: 1 +Mode: 2 del: 2, siz: 2 +Mode: 2 del: 2, siz: 3 +Mode: 2 del: 2, siz: 4 +Mode: 2 del: 3, siz: 1 +Mode: 2 del: 3, siz: 2 +Mode: 2 del: 3, siz: 3 +Mode: 2 del: 3, siz: 4 +Mode: 2 del: 4, siz: 1 +Mode: 2 del: 4, siz: 2 +Mode: 2 del: 4, siz: 3 +Mode: 2 del: 4, siz: 4 +Mode: 2 del: 5, siz: 1 +Mode: 2 del: 5, siz: 2 +Mode: 2 del: 5, siz: 3 +Mode: 2 del: 5, siz: 4 +Mode: 2 del: 6, siz: 1 +Mode: 2 del: 6, siz: 2 +Mode: 2 del: 6, siz: 3 +Mode: 2 del: 6, siz: 4 +Mode: 3 del: 0, siz: 1 +Mode: 3 del: 0, siz: 2 +Mode: 3 del: 0, siz: 3 +Mode: 3 del: 0, siz: 4 +Mode: 3 del: 1, siz: 1 +Mode: 3 del: 1, siz: 2 +Mode: 3 del: 1, siz: 3 +Mode: 3 del: 1, siz: 4 +Mode: 3 del: 2, siz: 1 +Mode: 3 del: 2, siz: 2 +Mode: 3 del: 2, siz: 3 +Mode: 3 del: 2, siz: 4 +Mode: 3 del: 3, siz: 1 +Mode: 3 del: 3, siz: 2 +Mode: 3 del: 3, siz: 3 +Mode: 3 del: 3, siz: 4 +Mode: 3 del: 4, siz: 1 +Mode: 3 del: 4, siz: 2 +Mode: 3 del: 4, siz: 3 +Mode: 3 del: 4, siz: 4 +Mode: 3 del: 5, siz: 1 +Mode: 3 del: 5, siz: 2 +Mode: 3 del: 5, siz: 3 +Mode: 3 del: 5, siz: 4 +Mode: 3 del: 6, siz: 1 +Mode: 3 del: 6, siz: 2 +Mode: 3 del: 6, siz: 3 +Mode: 3 del: 6, siz: 4 +Mode: 4 del: 0, siz: 1 +Mode: 4 del: 0, siz: 2 +Mode: 4 del: 0, siz: 3 +Mode: 4 del: 0, siz: 4 +Mode: 4 del: 1, siz: 1 +Mode: 4 del: 1, siz: 2 +Mode: 4 del: 1, siz: 3 +Mode: 4 del: 1, siz: 4 +Mode: 4 del: 2, siz: 1 +Mode: 4 del: 2, siz: 2 +Mode: 4 del: 2, siz: 3 +Mode: 4 del: 2, siz: 4 +Mode: 4 del: 3, siz: 1 +Mode: 4 del: 3, siz: 2 +Mode: 4 del: 3, siz: 3 +Mode: 4 del: 3, siz: 4 +Mode: 4 del: 4, siz: 1 +Mode: 4 del: 4, siz: 2 +Mode: 4 del: 4, siz: 3 +Mode: 4 del: 4, siz: 4 +Mode: 4 del: 5, siz: 1 +Mode: 4 del: 5, siz: 2 +Mode: 4 del: 5, siz: 3 +Mode: 4 del: 5, siz: 4 +Mode: 4 del: 6, siz: 1 +Mode: 4 del: 6, siz: 2 +Mode: 4 del: 6, siz: 3 +Mode: 4 del: 6, siz: 4 +Mode: 5 del: 0, siz: 1 +Mode: 5 del: 0, siz: 2 +Mode: 5 del: 0, siz: 3 +Mode: 5 del: 0, siz: 4 +Mode: 5 del: 1, siz: 1 +Mode: 5 del: 1, siz: 2 +Mode: 5 del: 1, siz: 3 +Mode: 5 del: 1, siz: 4 +Mode: 5 del: 2, siz: 1 +Mode: 5 del: 2, siz: 2 +Mode: 5 del: 2, siz: 3 +Mode: 5 del: 2, siz: 4 +Mode: 5 del: 3, siz: 1 +Mode: 5 del: 3, siz: 2 +Mode: 5 del: 3, siz: 3 +Mode: 5 del: 3, siz: 4 +Mode: 5 del: 4, siz: 1 +Mode: 5 del: 4, siz: 2 +Mode: 5 del: 4, siz: 3 +Mode: 5 del: 4, siz: 4 +Mode: 5 del: 5, siz: 1 +Mode: 5 del: 5, siz: 2 +Mode: 5 del: 5, siz: 3 +Mode: 5 del: 5, siz: 4 +Mode: 5 del: 6, siz: 1 +Mode: 5 del: 6, siz: 2 +Mode: 5 del: 6, siz: 3 +Mode: 5 del: 6, siz: 4 +Mode: 6 del: 0, siz: 1 +Mode: 6 del: 0, siz: 2 +Mode: 6 del: 0, siz: 3 +Mode: 6 del: 0, siz: 4 +Mode: 6 del: 1, siz: 1 +Mode: 6 del: 1, siz: 2 +Mode: 6 del: 1, siz: 3 +Mode: 6 del: 1, siz: 4 +Mode: 6 del: 2, siz: 1 +Mode: 6 del: 2, siz: 2 +Mode: 6 del: 2, siz: 3 +Mode: 6 del: 2, siz: 4 +Mode: 6 del: 3, siz: 1 +Mode: 6 del: 3, siz: 2 +Mode: 6 del: 3, siz: 3 +Mode: 6 del: 3, siz: 4 +Mode: 6 del: 4, siz: 1 +Mode: 6 del: 4, siz: 2 +Mode: 6 del: 4, siz: 3 +Mode: 6 del: 4, siz: 4 +Mode: 6 del: 5, siz: 1 +Mode: 6 del: 5, siz: 2 +Mode: 6 del: 5, siz: 3 +Mode: 6 del: 5, siz: 4 +Mode: 6 del: 6, siz: 1 +Mode: 6 del: 6, siz: 2 +Mode: 6 del: 6, siz: 3 +Mode: 6 del: 6, siz: 4 +Mode: 7 del: 0, siz: 1 +Mode: 7 del: 0, siz: 2 +Mode: 7 del: 0, siz: 3 +Mode: 7 del: 0, siz: 4 +Mode: 7 del: 1, siz: 1 +Mode: 7 del: 1, siz: 2 +Mode: 7 del: 1, siz: 3 +Mode: 7 del: 1, siz: 4 +Mode: 7 del: 2, siz: 1 +Mode: 7 del: 2, siz: 2 +Mode: 7 del: 2, siz: 3 +Mode: 7 del: 2, siz: 4 +Mode: 7 del: 3, siz: 1 +Mode: 7 del: 3, siz: 2 +Mode: 7 del: 3, siz: 3 +Mode: 7 del: 3, siz: 4 +Mode: 7 del: 4, siz: 1 +Mode: 7 del: 4, siz: 2 +Mode: 7 del: 4, siz: 3 +Mode: 7 del: 4, siz: 4 +Mode: 7 del: 5, siz: 1 +Mode: 7 del: 5, siz: 2 +Mode: 7 del: 5, siz: 3 +Mode: 7 del: 5, siz: 4 +Mode: 7 del: 6, siz: 1 +Mode: 7 del: 6, siz: 2 +Mode: 7 del: 6, siz: 3 +Mode: 7 del: 6, siz: 4 +Mode: 8 del: 0, siz: 1 +Mode: 8 del: 0, siz: 2 +Mode: 8 del: 0, siz: 3 +Mode: 8 del: 0, siz: 4 +Mode: 8 del: 1, siz: 1 +Mode: 8 del: 1, siz: 2 +Mode: 8 del: 1, siz: 3 +Mode: 8 del: 1, siz: 4 +Mode: 8 del: 2, siz: 1 +Mode: 8 del: 2, siz: 2 +Mode: 8 del: 2, siz: 3 +Mode: 8 del: 2, siz: 4 +Mode: 8 del: 3, siz: 1 +Mode: 8 del: 3, siz: 2 +Mode: 8 del: 3, siz: 3 +Mode: 8 del: 3, siz: 4 +Mode: 8 del: 4, siz: 1 +Mode: 8 del: 4, siz: 2 +Mode: 8 del: 4, siz: 3 +Mode: 8 del: 4, siz: 4 +Mode: 8 del: 5, siz: 1 +Mode: 8 del: 5, siz: 2 +Mode: 8 del: 5, siz: 3 +Mode: 8 del: 5, siz: 4 +Mode: 8 del: 6, siz: 1 +Mode: 8 del: 6, siz: 2 +Mode: 8 del: 6, siz: 3 +Mode: 8 del: 6, siz: 4 +Mode: 9 del: 0, siz: 1 +Mode: 9 del: 0, siz: 2 +Mode: 9 del: 0, siz: 3 +Mode: 9 del: 0, siz: 4 +Mode: 9 del: 1, siz: 1 +Mode: 9 del: 1, siz: 2 +Mode: 9 del: 1, siz: 3 +Mode: 9 del: 1, siz: 4 +Mode: 9 del: 2, siz: 1 +Mode: 9 del: 2, siz: 2 +Mode: 9 del: 2, siz: 3 +Mode: 9 del: 2, siz: 4 +Mode: 9 del: 3, siz: 1 +Mode: 9 del: 3, siz: 2 +Mode: 9 del: 3, siz: 3 +Mode: 9 del: 3, siz: 4 +Mode: 9 del: 4, siz: 1 +Mode: 9 del: 4, siz: 2 +Mode: 9 del: 4, siz: 3 +Mode: 9 del: 4, siz: 4 +Mode: 9 del: 5, siz: 1 +Mode: 9 del: 5, siz: 2 +Mode: 9 del: 5, siz: 3 +Mode: 9 del: 5, siz: 4 +Mode: 9 del: 6, siz: 1 +Mode: 9 del: 6, siz: 2 +Mode: 9 del: 6, siz: 3 +Mode: 9 del: 6, siz: 4 +Mode: 10 del: 0, siz: 1 +Mode: 10 del: 0, siz: 2 +Mode: 10 del: 0, siz: 3 +Mode: 10 del: 0, siz: 4 +Mode: 10 del: 1, siz: 1 +Mode: 10 del: 1, siz: 2 +Mode: 10 del: 1, siz: 3 +Mode: 10 del: 1, siz: 4 +Mode: 10 del: 2, siz: 1 +Mode: 10 del: 2, siz: 2 +Mode: 10 del: 2, siz: 3 +Mode: 10 del: 2, siz: 4 +Mode: 10 del: 3, siz: 1 +Mode: 10 del: 3, siz: 2 +Mode: 10 del: 3, siz: 3 +Mode: 10 del: 3, siz: 4 +Mode: 10 del: 4, siz: 1 +Mode: 10 del: 4, siz: 2 +Mode: 10 del: 4, siz: 3 +Mode: 10 del: 4, siz: 4 +Mode: 10 del: 5, siz: 1 +Mode: 10 del: 5, siz: 2 +Mode: 10 del: 5, siz: 3 +Mode: 10 del: 5, siz: 4 +Mode: 10 del: 6, siz: 1 +Mode: 10 del: 6, siz: 2 +Mode: 10 del: 6, siz: 3 +Mode: 10 del: 6, siz: 4 +Mode: 11 del: 0, siz: 1 +Mode: 11 del: 0, siz: 2 +Mode: 11 del: 0, siz: 3 +Mode: 11 del: 0, siz: 4 +Mode: 11 del: 1, siz: 1 +Mode: 11 del: 1, siz: 2 +Mode: 11 del: 1, siz: 3 +Mode: 11 del: 1, siz: 4 +Mode: 11 del: 2, siz: 1 +Mode: 11 del: 2, siz: 2 +Mode: 11 del: 2, siz: 3 +Mode: 11 del: 2, siz: 4 +Mode: 11 del: 3, siz: 1 +Mode: 11 del: 3, siz: 2 +Mode: 11 del: 3, siz: 3 +Mode: 11 del: 3, siz: 4 +Mode: 11 del: 4, siz: 1 +Mode: 11 del: 4, siz: 2 +Mode: 11 del: 4, siz: 3 +Mode: 11 del: 4, siz: 4 +Mode: 11 del: 5, siz: 1 +Mode: 11 del: 5, siz: 2 +Mode: 11 del: 5, siz: 3 +Mode: 11 del: 5, siz: 4 +Mode: 11 del: 6, siz: 1 +Mode: 11 del: 6, siz: 2 +Mode: 11 del: 6, siz: 3 +Mode: 11 del: 6, siz: 4 +Mode: 12 del: 0, siz: 1 +Mode: 12 del: 0, siz: 2 +Mode: 12 del: 0, siz: 3 +Mode: 12 del: 0, siz: 4 +Mode: 12 del: 1, siz: 1 +Mode: 12 del: 1, siz: 2 +Mode: 12 del: 1, siz: 3 +Mode: 12 del: 1, siz: 4 +Mode: 12 del: 2, siz: 1 +Mode: 12 del: 2, siz: 2 +Mode: 12 del: 2, siz: 3 +Mode: 12 del: 2, siz: 4 +Mode: 12 del: 3, siz: 1 +Mode: 12 del: 3, siz: 2 +Mode: 12 del: 3, siz: 3 +Mode: 12 del: 3, siz: 4 +Mode: 12 del: 4, siz: 1 +Mode: 12 del: 4, siz: 2 +Mode: 12 del: 4, siz: 3 +Mode: 12 del: 4, siz: 4 +Mode: 12 del: 5, siz: 1 +Mode: 12 del: 5, siz: 2 +Mode: 12 del: 5, siz: 3 +Mode: 12 del: 5, siz: 4 +Mode: 12 del: 6, siz: 1 +Mode: 12 del: 6, siz: 2 +Mode: 12 del: 6, siz: 3 +Mode: 12 del: 6, siz: 4 +Mode: 13 del: 0, siz: 1 +Mode: 13 del: 0, siz: 2 +Mode: 13 del: 0, siz: 3 +Mode: 13 del: 0, siz: 4 +Mode: 13 del: 1, siz: 1 +Mode: 13 del: 1, siz: 2 +Mode: 13 del: 1, siz: 3 +Mode: 13 del: 1, siz: 4 +Mode: 13 del: 2, siz: 1 +Mode: 13 del: 2, siz: 2 +Mode: 13 del: 2, siz: 3 +Mode: 13 del: 2, siz: 4 +Mode: 13 del: 3, siz: 1 +Mode: 13 del: 3, siz: 2 +Mode: 13 del: 3, siz: 3 +Mode: 13 del: 3, siz: 4 +Mode: 13 del: 4, siz: 1 +Mode: 13 del: 4, siz: 2 +Mode: 13 del: 4, siz: 3 +Mode: 13 del: 4, siz: 4 +Mode: 13 del: 5, siz: 1 +Mode: 13 del: 5, siz: 2 +Mode: 13 del: 5, siz: 3 +Mode: 13 del: 5, siz: 4 +Mode: 13 del: 6, siz: 1 +Mode: 13 del: 6, siz: 2 +Mode: 13 del: 6, siz: 3 +Mode: 13 del: 6, siz: 4 +Mode: 14 del: 0, siz: 1 +Mode: 14 del: 0, siz: 2 +Mode: 14 del: 0, siz: 3 +Mode: 14 del: 0, siz: 4 +Mode: 14 del: 1, siz: 1 +Mode: 14 del: 1, siz: 2 +Mode: 14 del: 1, siz: 3 +Mode: 14 del: 1, siz: 4 +Mode: 14 del: 2, siz: 1 +Mode: 14 del: 2, siz: 2 +Mode: 14 del: 2, siz: 3 +Mode: 14 del: 2, siz: 4 +Mode: 14 del: 3, siz: 1 +Mode: 14 del: 3, siz: 2 +Mode: 14 del: 3, siz: 3 +Mode: 14 del: 3, siz: 4 +Mode: 14 del: 4, siz: 1 +Mode: 14 del: 4, siz: 2 +Mode: 14 del: 4, siz: 3 +Mode: 14 del: 4, siz: 4 +Mode: 14 del: 5, siz: 1 +Mode: 14 del: 5, siz: 2 +Mode: 14 del: 5, siz: 3 +Mode: 14 del: 5, siz: 4 +Mode: 14 del: 6, siz: 1 +Mode: 14 del: 6, siz: 2 +Mode: 14 del: 6, siz: 3 +Mode: 14 del: 6, siz: 4 +Mode: 15 del: 0, siz: 1 +Mode: 15 del: 0, siz: 2 +Mode: 15 del: 0, siz: 3 +Mode: 15 del: 0, siz: 4 +Mode: 15 del: 1, siz: 1 +Mode: 15 del: 1, siz: 2 +Mode: 15 del: 1, siz: 3 +Mode: 15 del: 1, siz: 4 +Mode: 15 del: 2, siz: 1 +Mode: 15 del: 2, siz: 2 +Mode: 15 del: 2, siz: 3 +Mode: 15 del: 2, siz: 4 +Mode: 15 del: 3, siz: 1 +Mode: 15 del: 3, siz: 2 +Mode: 15 del: 3, siz: 3 +Mode: 15 del: 3, siz: 4 +Mode: 15 del: 4, siz: 1 +Mode: 15 del: 4, siz: 2 +Mode: 15 del: 4, siz: 3 +Mode: 15 del: 4, siz: 4 +Mode: 15 del: 5, siz: 1 +Mode: 15 del: 5, siz: 2 +Mode: 15 del: 5, siz: 3 +Mode: 15 del: 5, siz: 4 +Mode: 15 del: 6, siz: 1 +Mode: 15 del: 6, siz: 2 +Mode: 15 del: 6, siz: 3 +Mode: 15 del: 6, siz: 4 +Mode: 16 del: 0, siz: 1 +Mode: 16 del: 0, siz: 2 +Mode: 16 del: 0, siz: 3 +Mode: 16 del: 0, siz: 4 +Mode: 16 del: 1, siz: 1 +Mode: 16 del: 1, siz: 2 +Mode: 16 del: 1, siz: 3 +Mode: 16 del: 1, siz: 4 +Mode: 16 del: 2, siz: 1 +Mode: 16 del: 2, siz: 2 +Mode: 16 del: 2, siz: 3 +Mode: 16 del: 2, siz: 4 +Mode: 16 del: 3, siz: 1 +Mode: 16 del: 3, siz: 2 +Mode: 16 del: 3, siz: 3 +Mode: 16 del: 3, siz: 4 +Mode: 16 del: 4, siz: 1 +Mode: 16 del: 4, siz: 2 +Mode: 16 del: 4, siz: 3 +Mode: 16 del: 4, siz: 4 +Mode: 16 del: 5, siz: 1 +Mode: 16 del: 5, siz: 2 +Mode: 16 del: 5, siz: 3 +Mode: 16 del: 5, siz: 4 +Mode: 16 del: 6, siz: 1 +Mode: 16 del: 6, siz: 2 +Mode: 16 del: 6, siz: 3 +Mode: 16 del: 6, siz: 4 +Mode: 17 del: 0, siz: 1 +Mode: 17 del: 0, siz: 2 +Mode: 17 del: 0, siz: 3 +Mode: 17 del: 0, siz: 4 +Mode: 17 del: 1, siz: 1 +Mode: 17 del: 1, siz: 2 +Mode: 17 del: 1, siz: 3 +Mode: 17 del: 1, siz: 4 +Mode: 17 del: 2, siz: 1 +Mode: 17 del: 2, siz: 2 +Mode: 17 del: 2, siz: 3 +Mode: 17 del: 2, siz: 4 +Mode: 17 del: 3, siz: 1 +Mode: 17 del: 3, siz: 2 +Mode: 17 del: 3, siz: 3 +Mode: 17 del: 3, siz: 4 +Mode: 17 del: 4, siz: 1 +Mode: 17 del: 4, siz: 2 +Mode: 17 del: 4, siz: 3 +Mode: 17 del: 4, siz: 4 +Mode: 17 del: 5, siz: 1 +Mode: 17 del: 5, siz: 2 +Mode: 17 del: 5, siz: 3 +Mode: 17 del: 5, siz: 4 +Mode: 17 del: 6, siz: 1 +Mode: 17 del: 6, siz: 2 +Mode: 17 del: 6, siz: 3 +Mode: 17 del: 6, siz: 4 +Mode: 18 del: 0, siz: 1 +Mode: 18 del: 0, siz: 2 +Mode: 18 del: 0, siz: 3 +Mode: 18 del: 0, siz: 4 +Mode: 18 del: 1, siz: 1 +Mode: 18 del: 1, siz: 2 +Mode: 18 del: 1, siz: 3 +Mode: 18 del: 1, siz: 4 +Mode: 18 del: 2, siz: 1 +Mode: 18 del: 2, siz: 2 +Mode: 18 del: 2, siz: 3 +Mode: 18 del: 2, siz: 4 +Mode: 18 del: 3, siz: 1 +Mode: 18 del: 3, siz: 2 +Mode: 18 del: 3, siz: 3 +Mode: 18 del: 3, siz: 4 +Mode: 18 del: 4, siz: 1 +Mode: 18 del: 4, siz: 2 +Mode: 18 del: 4, siz: 3 +Mode: 18 del: 4, siz: 4 +Mode: 18 del: 5, siz: 1 +Mode: 18 del: 5, siz: 2 +Mode: 18 del: 5, siz: 3 +Mode: 18 del: 5, siz: 4 +Mode: 18 del: 6, siz: 1 +Mode: 18 del: 6, siz: 2 +Mode: 18 del: 6, siz: 3 +Mode: 18 del: 6, siz: 4 +Mode: 19 del: 0, siz: 1 +Mode: 19 del: 0, siz: 2 +Mode: 19 del: 0, siz: 3 +Mode: 19 del: 0, siz: 4 +Mode: 19 del: 1, siz: 1 +Mode: 19 del: 1, siz: 2 +Mode: 19 del: 1, siz: 3 +Mode: 19 del: 1, siz: 4 +Mode: 19 del: 2, siz: 1 +Mode: 19 del: 2, siz: 2 +Mode: 19 del: 2, siz: 3 +Mode: 19 del: 2, siz: 4 +Mode: 19 del: 3, siz: 1 +Mode: 19 del: 3, siz: 2 +Mode: 19 del: 3, siz: 3 +Mode: 19 del: 3, siz: 4 +Mode: 19 del: 4, siz: 1 +Mode: 19 del: 4, siz: 2 +Mode: 19 del: 4, siz: 3 +Mode: 19 del: 4, siz: 4 +Mode: 19 del: 5, siz: 1 +Mode: 19 del: 5, siz: 2 +Mode: 19 del: 5, siz: 3 +Mode: 19 del: 5, siz: 4 +Mode: 19 del: 6, siz: 1 +Mode: 19 del: 6, siz: 2 +Mode: 19 del: 6, siz: 3 +Mode: 19 del: 6, siz: 4 +Mode: 20 del: 0, siz: 1 +Mode: 20 del: 0, siz: 2 +Mode: 20 del: 0, siz: 3 +Mode: 20 del: 0, siz: 4 +Mode: 20 del: 1, siz: 1 +Mode: 20 del: 1, siz: 2 +Mode: 20 del: 1, siz: 3 +Mode: 20 del: 1, siz: 4 +Mode: 20 del: 2, siz: 1 +Mode: 20 del: 2, siz: 2 +Mode: 20 del: 2, siz: 3 +Mode: 20 del: 2, siz: 4 +Mode: 20 del: 3, siz: 1 +Mode: 20 del: 3, siz: 2 +Mode: 20 del: 3, siz: 3 +Mode: 20 del: 3, siz: 4 +Mode: 20 del: 4, siz: 1 +Mode: 20 del: 4, siz: 2 +Mode: 20 del: 4, siz: 3 +Mode: 20 del: 4, siz: 4 +Mode: 20 del: 5, siz: 1 +Mode: 20 del: 5, siz: 2 +Mode: 20 del: 5, siz: 3 +Mode: 20 del: 5, siz: 4 +Mode: 20 del: 6, siz: 1 +Mode: 20 del: 6, siz: 2 +Mode: 20 del: 6, siz: 3 +Mode: 20 del: 6, siz: 4 +Mode: 21 del: 0, siz: 1 +Mode: 21 del: 0, siz: 2 +Mode: 21 del: 0, siz: 3 +Mode: 21 del: 0, siz: 4 +Mode: 21 del: 1, siz: 1 +Mode: 21 del: 1, siz: 2 +Mode: 21 del: 1, siz: 3 +Mode: 21 del: 1, siz: 4 +Mode: 21 del: 2, siz: 1 +Mode: 21 del: 2, siz: 2 +Mode: 21 del: 2, siz: 3 +Mode: 21 del: 2, siz: 4 +Mode: 21 del: 3, siz: 1 +Mode: 21 del: 3, siz: 2 +Mode: 21 del: 3, siz: 3 +Mode: 21 del: 3, siz: 4 +Mode: 21 del: 4, siz: 1 +Mode: 21 del: 4, siz: 2 +Mode: 21 del: 4, siz: 3 +Mode: 21 del: 4, siz: 4 +Mode: 21 del: 5, siz: 1 +Mode: 21 del: 5, siz: 2 +Mode: 21 del: 5, siz: 3 +Mode: 21 del: 5, siz: 4 +Mode: 21 del: 6, siz: 1 +Mode: 21 del: 6, siz: 2 +Mode: 21 del: 6, siz: 3 +Mode: 21 del: 6, siz: 4 +Mode: 22 del: 0, siz: 1 +Mode: 22 del: 0, siz: 2 +Mode: 22 del: 0, siz: 3 +Mode: 22 del: 0, siz: 4 +Mode: 22 del: 1, siz: 1 +Mode: 22 del: 1, siz: 2 +Mode: 22 del: 1, siz: 3 +Mode: 22 del: 1, siz: 4 +Mode: 22 del: 2, siz: 1 +Mode: 22 del: 2, siz: 2 +Mode: 22 del: 2, siz: 3 +Mode: 22 del: 2, siz: 4 +Mode: 22 del: 3, siz: 1 +Mode: 22 del: 3, siz: 2 +Mode: 22 del: 3, siz: 3 +Mode: 22 del: 3, siz: 4 +Mode: 22 del: 4, siz: 1 +Mode: 22 del: 4, siz: 2 +Mode: 22 del: 4, siz: 3 +Mode: 22 del: 4, siz: 4 +Mode: 22 del: 5, siz: 1 +Mode: 22 del: 5, siz: 2 +Mode: 22 del: 5, siz: 3 +Mode: 22 del: 5, siz: 4 +Mode: 22 del: 6, siz: 1 +Mode: 22 del: 6, siz: 2 +Mode: 22 del: 6, siz: 3 +Mode: 22 del: 6, siz: 4 +Mode: 23 del: 0, siz: 1 +Mode: 23 del: 0, siz: 2 +Mode: 23 del: 0, siz: 3 +Mode: 23 del: 0, siz: 4 +Mode: 23 del: 1, siz: 1 +Mode: 23 del: 1, siz: 2 +Mode: 23 del: 1, siz: 3 +Mode: 23 del: 1, siz: 4 +Mode: 23 del: 2, siz: 1 +Mode: 23 del: 2, siz: 2 +Mode: 23 del: 2, siz: 3 +Mode: 23 del: 2, siz: 4 +Mode: 23 del: 3, siz: 1 +Mode: 23 del: 3, siz: 2 +Mode: 23 del: 3, siz: 3 +Mode: 23 del: 3, siz: 4 +Mode: 23 del: 4, siz: 1 +Mode: 23 del: 4, siz: 2 +Mode: 23 del: 4, siz: 3 +Mode: 23 del: 4, siz: 4 +Mode: 23 del: 5, siz: 1 +Mode: 23 del: 5, siz: 2 +Mode: 23 del: 5, siz: 3 +Mode: 23 del: 5, siz: 4 +Mode: 23 del: 6, siz: 1 +Mode: 23 del: 6, siz: 2 +Mode: 23 del: 6, siz: 3 +Mode: 23 del: 6, siz: 4 +Mode: 24 del: 0, siz: 1 +Mode: 24 del: 0, siz: 2 +Mode: 24 del: 0, siz: 3 +Mode: 24 del: 0, siz: 4 +Mode: 24 del: 1, siz: 1 +Mode: 24 del: 1, siz: 2 +Mode: 24 del: 1, siz: 3 +Mode: 24 del: 1, siz: 4 +Mode: 24 del: 2, siz: 1 +Mode: 24 del: 2, siz: 2 +Mode: 24 del: 2, siz: 3 +Mode: 24 del: 2, siz: 4 +Mode: 24 del: 3, siz: 1 +Mode: 24 del: 3, siz: 2 +Mode: 24 del: 3, siz: 3 +Mode: 24 del: 3, siz: 4 +Mode: 24 del: 4, siz: 1 +Mode: 24 del: 4, siz: 2 +Mode: 24 del: 4, siz: 3 +Mode: 24 del: 4, siz: 4 +Mode: 24 del: 5, siz: 1 +Mode: 24 del: 5, siz: 2 +Mode: 24 del: 5, siz: 3 +Mode: 24 del: 5, siz: 4 +Mode: 24 del: 6, siz: 1 +Mode: 24 del: 6, siz: 2 +Mode: 24 del: 6, siz: 3 +Mode: 24 del: 6, siz: 4 +Mode: 25 del: 0, siz: 1 +Mode: 25 del: 0, siz: 2 +Mode: 25 del: 0, siz: 3 +Mode: 25 del: 0, siz: 4 +Mode: 25 del: 1, siz: 1 +Mode: 25 del: 1, siz: 2 +Mode: 25 del: 1, siz: 3 +Mode: 25 del: 1, siz: 4 +Mode: 25 del: 2, siz: 1 +Mode: 25 del: 2, siz: 2 +Mode: 25 del: 2, siz: 3 +Mode: 25 del: 2, siz: 4 +Mode: 25 del: 3, siz: 1 +Mode: 25 del: 3, siz: 2 +Mode: 25 del: 3, siz: 3 +Mode: 25 del: 3, siz: 4 +Mode: 25 del: 4, siz: 1 +Mode: 25 del: 4, siz: 2 +Mode: 25 del: 4, siz: 3 +Mode: 25 del: 4, siz: 4 +Mode: 25 del: 5, siz: 1 +Mode: 25 del: 5, siz: 2 +Mode: 25 del: 5, siz: 3 +Mode: 25 del: 5, siz: 4 +Mode: 25 del: 6, siz: 1 +Mode: 25 del: 6, siz: 2 +Mode: 25 del: 6, siz: 3 +Mode: 25 del: 6, siz: 4 +Mode: 26 del: 0, siz: 1 +Mode: 26 del: 0, siz: 2 +Mode: 26 del: 0, siz: 3 +Mode: 26 del: 0, siz: 4 +Mode: 26 del: 1, siz: 1 +Mode: 26 del: 1, siz: 2 +Mode: 26 del: 1, siz: 3 +Mode: 26 del: 1, siz: 4 +Mode: 26 del: 2, siz: 1 +Mode: 26 del: 2, siz: 2 +Mode: 26 del: 2, siz: 3 +Mode: 26 del: 2, siz: 4 +Mode: 26 del: 3, siz: 1 +Mode: 26 del: 3, siz: 2 +Mode: 26 del: 3, siz: 3 +Mode: 26 del: 3, siz: 4 +Mode: 26 del: 4, siz: 1 +Mode: 26 del: 4, siz: 2 +Mode: 26 del: 4, siz: 3 +Mode: 26 del: 4, siz: 4 +Mode: 26 del: 5, siz: 1 +Mode: 26 del: 5, siz: 2 +Mode: 26 del: 5, siz: 3 +Mode: 26 del: 5, siz: 4 +Mode: 26 del: 6, siz: 1 +Mode: 26 del: 6, siz: 2 +Mode: 26 del: 6, siz: 3 +Mode: 26 del: 6, siz: 4 +Mode: 27 del: 0, siz: 1 +Mode: 27 del: 0, siz: 2 +Mode: 27 del: 0, siz: 3 +Mode: 27 del: 0, siz: 4 +Mode: 27 del: 1, siz: 1 +Mode: 27 del: 1, siz: 2 +Mode: 27 del: 1, siz: 3 +Mode: 27 del: 1, siz: 4 +Mode: 27 del: 2, siz: 1 +Mode: 27 del: 2, siz: 2 +Mode: 27 del: 2, siz: 3 +Mode: 27 del: 2, siz: 4 +Mode: 27 del: 3, siz: 1 +Mode: 27 del: 3, siz: 2 +Mode: 27 del: 3, siz: 3 +Mode: 27 del: 3, siz: 4 +Mode: 27 del: 4, siz: 1 +Mode: 27 del: 4, siz: 2 +Mode: 27 del: 4, siz: 3 +Mode: 27 del: 4, siz: 4 +Mode: 27 del: 5, siz: 1 +Mode: 27 del: 5, siz: 2 +Mode: 27 del: 5, siz: 3 +Mode: 27 del: 5, siz: 4 +Mode: 27 del: 6, siz: 1 +Mode: 27 del: 6, siz: 2 +Mode: 27 del: 6, siz: 3 +Mode: 27 del: 6, siz: 4 +Mode: 28 del: 0, siz: 1 +Mode: 28 del: 0, siz: 2 +Mode: 28 del: 0, siz: 3 +Mode: 28 del: 0, siz: 4 +Mode: 28 del: 1, siz: 1 +Mode: 28 del: 1, siz: 2 +Mode: 28 del: 1, siz: 3 +Mode: 28 del: 1, siz: 4 +Mode: 28 del: 2, siz: 1 +Mode: 28 del: 2, siz: 2 +Mode: 28 del: 2, siz: 3 +Mode: 28 del: 2, siz: 4 +Mode: 28 del: 3, siz: 1 +Mode: 28 del: 3, siz: 2 +Mode: 28 del: 3, siz: 3 +Mode: 28 del: 3, siz: 4 +Mode: 28 del: 4, siz: 1 +Mode: 28 del: 4, siz: 2 +Mode: 28 del: 4, siz: 3 +Mode: 28 del: 4, siz: 4 +Mode: 28 del: 5, siz: 1 +Mode: 28 del: 5, siz: 2 +Mode: 28 del: 5, siz: 3 +Mode: 28 del: 5, siz: 4 +Mode: 28 del: 6, siz: 1 +Mode: 28 del: 6, siz: 2 +Mode: 28 del: 6, siz: 3 +Mode: 28 del: 6, siz: 4 +Mode: 29 del: 0, siz: 1 +Mode: 29 del: 0, siz: 2 +Mode: 29 del: 0, siz: 3 +Mode: 29 del: 0, siz: 4 +Mode: 29 del: 1, siz: 1 +Mode: 29 del: 1, siz: 2 +Mode: 29 del: 1, siz: 3 +Mode: 29 del: 1, siz: 4 +Mode: 29 del: 2, siz: 1 +Mode: 29 del: 2, siz: 2 +Mode: 29 del: 2, siz: 3 +Mode: 29 del: 2, siz: 4 +Mode: 29 del: 3, siz: 1 +Mode: 29 del: 3, siz: 2 +Mode: 29 del: 3, siz: 3 +Mode: 29 del: 3, siz: 4 +Mode: 29 del: 4, siz: 1 +Mode: 29 del: 4, siz: 2 +Mode: 29 del: 4, siz: 3 +Mode: 29 del: 4, siz: 4 +Mode: 29 del: 5, siz: 1 +Mode: 29 del: 5, siz: 2 +Mode: 29 del: 5, siz: 3 +Mode: 29 del: 5, siz: 4 +Mode: 29 del: 6, siz: 1 +Mode: 29 del: 6, siz: 2 +Mode: 29 del: 6, siz: 3 +Mode: 29 del: 6, siz: 4 +Mode: 30 del: 0, siz: 1 +Mode: 30 del: 0, siz: 2 +Mode: 30 del: 0, siz: 3 +Mode: 30 del: 0, siz: 4 +Mode: 30 del: 1, siz: 1 +Mode: 30 del: 1, siz: 2 +Mode: 30 del: 1, siz: 3 +Mode: 30 del: 1, siz: 4 +Mode: 30 del: 2, siz: 1 +Mode: 30 del: 2, siz: 2 +Mode: 30 del: 2, siz: 3 +Mode: 30 del: 2, siz: 4 +Mode: 30 del: 3, siz: 1 +Mode: 30 del: 3, siz: 2 +Mode: 30 del: 3, siz: 3 +Mode: 30 del: 3, siz: 4 +Mode: 30 del: 4, siz: 1 +Mode: 30 del: 4, siz: 2 +Mode: 30 del: 4, siz: 3 +Mode: 30 del: 4, siz: 4 +Mode: 30 del: 5, siz: 1 +Mode: 30 del: 5, siz: 2 +Mode: 30 del: 5, siz: 3 +Mode: 30 del: 5, siz: 4 +Mode: 30 del: 6, siz: 1 +Mode: 30 del: 6, siz: 2 +Mode: 30 del: 6, siz: 3 +Mode: 30 del: 6, siz: 4 +Mode: 31 del: 0, siz: 1 +Mode: 31 del: 0, siz: 2 +Mode: 31 del: 0, siz: 3 +Mode: 31 del: 0, siz: 4 +Mode: 31 del: 1, siz: 1 +Mode: 31 del: 1, siz: 2 +Mode: 31 del: 1, siz: 3 +Mode: 31 del: 1, siz: 4 +Mode: 31 del: 2, siz: 1 +Mode: 31 del: 2, siz: 2 +Mode: 31 del: 2, siz: 3 +Mode: 31 del: 2, siz: 4 +Mode: 31 del: 3, siz: 1 +Mode: 31 del: 3, siz: 2 +Mode: 31 del: 3, siz: 3 +Mode: 31 del: 3, siz: 4 +Mode: 31 del: 4, siz: 1 +Mode: 31 del: 4, siz: 2 +Mode: 31 del: 4, siz: 3 +Mode: 31 del: 4, siz: 4 +Mode: 31 del: 5, siz: 1 +Mode: 31 del: 5, siz: 2 +Mode: 31 del: 5, siz: 3 +Mode: 31 del: 5, siz: 4 +Mode: 31 del: 6, siz: 1 +Mode: 31 del: 6, siz: 2 +Mode: 31 del: 6, siz: 3 +Mode: 31 del: 6, siz: 4 + + + +--------------------+ + | Total ERRORS: 0 | + +--------------------+ +***************************************************** +*** Test DONE ... *** +***************************************************** + + + + + +***************************************************** +*** Datapath 2 Test ... *** +***************************************************** + +Delay: 0 +Delay: 1 +Delay: 2 +Delay: 3 +Delay: 4 + + + +--------------------+ + | Total ERRORS: 0 | + +--------------------+ +***************************************************** +*** Test DONE ... *** +***************************************************** + + +Simulation complete via $finish(1) at time 3188770 NS + 0 +/home/rudi/bender_cores/wb_conmax/bench/verilog/test_bench_top.v:398 $finish; +ncsim> exit +ncsim: Memory Usage - 4.3M program + 4.5M data = 8.9M total +ncsim: CPU Usage - 0.2s system + 62.6s user = 62.8s total (64.5s, 97.3% cpu) Index: tags/start/sim/rtl_sim/run/ncwork/.inca.db.134.linux =================================================================== Index: tags/start/sim/rtl_sim/run/ncwork/inca.linux.134.pak =================================================================== --- tags/start/sim/rtl_sim/run/ncwork/inca.linux.134.pak (nonexistent) +++ tags/start/sim/rtl_sim/run/ncwork/inca.linux.134.pak (revision 3) @@ -0,0 +1,18068 @@ +ӵЉ凄Ɂݟ˅ؒѵ贡[ +|G>P3E)F!! O X]>1vt>L9]4yrs^=R E6n S0_1\=Ejp2@4Xtp_-X6wc ~:Y=N` e&)er\0Y;;;^VA2=zx2@5Q8u~ +R1^,I:b_L8T x|S!T:{or6^:Vxo<3t|R$E777S^S /hj R'C*glm@#L>[(pM.A/B#[tr1G"P9U:]|]s]r\r]s]r\r]/[7n y|tUtta>]2\1P(wvyr/YYwX0_2Wx +r]?Z4P5G{fp_(Jvw{,^*Fiza +b+**+**wo@6S!H$K,,[9fjip/_-D~s]++`X;,0P5<D@0@@@BV;V;t# ƫ@0@JP!p! !X @`@|@X(X@BBlXW۴ƭ@WBU @HĦۄٸԽ- Cܮ-0hCܮ- 0Cܮ-0Cܮ-0Cܮ-@@Dܮ- @hDܮ-@Dܮ-@D ٫-PE. B. + @B+B(B ٫.BC@dBpBHBBW.@ B. @ @B+BB PChC@@@@B +.P B. P @B+0C@C(DC@P@@PCC@P A@PCCC@P,A@PC@D@!PLA@PC.` B. ` @B+DDEhD@`lA@(DDB@`A@(DD@`A@(D!E@!`A@(D#.p B. +p @B+DDpEEhD@ AH%. XE. @B+@E`E,F$تöED@EpE*$ت·F@D@EpE/$ت@FC@&EpE1$تFhC@04FpE3. XE. @B+hFxF7G$تDzF@FF5$تƳ GD@FF:$تŰXGE@&GF<$تıGC@0LGF>. XE. @B+GGBH0ŷށĩ8H@GG@. B. @B+@GHIH$تÜpHF@,HHE$تÜHXG@dHHL.  B.  @B+HH'8I@pAEHĦۄ-BwIݹJ G@4I V IWPpXVI. @B3CxIpVIެŚ-hCp VI-E-BwIݹJE@J VJWRpXVhJ. B3CXJp VJ- Cp 0VJ-0E-`BwJݹKF@`J VJWTppXVHK.p @K3pC(KpVpK-Cp VK-F-BwKݹLG@K VKWVpXVL. XE3CLpV@L-Cp V`L-@F- BwpLݹxMF@ L VLWXp0XVL.0 L30CLp@V M-@@Dp PV@M-PF-BwPMݹXN@tM V`MWZpXVM. M3CMpVN-hDp V N-F-Bw0Nݹ8O@F@TN V@NW\pXVN. N3CNpVN-Dp VO- G-@BwOݹOC@@4O V OX^pPXVO.P B3PCxOp`VO-`Dp pVO-pXGS@P-G}O`P Q-E-'EZ@PPP-2FZ)`PpP-=@FZ4PP- +FZ?PP-FZ PP-  GZPP-+XGZ"QQ-0G.@ XE3@G@Q-@pH}XS@hQQ.@Q.` @K3`GQ-`pH}0S`Q R.`8R. B3G@R-pH}ShRR.R-pH}SRR.B0SPRxRRXS`QQSS@XPQxQ0Sj00QXST@0XSd-G. B3GS-8H}xTS T.R-8H}xT0ThT.BTXSS@TjSxTT@xTf@pU- +pE}TphTU-pB.pBOp0U@U.#pB-3pB.6pRO5ppUU-Ep8H-PppHh@3$ + @4 5= @5|@6@@76 7@7h @95 9@9@p;@<z <@< J@X>y >@>"@8@/#@@# pA +$@pA& 'p+"0 Y22 +S| 2(Q*2S%@N E@E5@FP@I `J3@`J + @L HL1 @HLb@8N@N Ok@O paC0#V(@`@NDiU E Ӂ nUU UDFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U1ى Ӂ ڃiUUUpFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E UUSRWЉщ߉ËGFʉكЃ +^u S{WG _Z[E UU SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[]$U(1ى Ӂ ډxU(U$FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E, UU,SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[U4U0dž @Bdž FHNL qV^FVDV,JND QFH$QP$ JYXFᐐNDiFVF@PFDPFPFPFDdžX hY džFFDF@FVn F@PFDPF PFPFPFDdža hY +džF FDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@RNDQ At2AJIȋH9uJH B R uZNDAF,H ND S,^,C,C[X Fᐐ FGFGU4U0U4U0 ¸VFF F@PFDPF PFPFPFDdžT hYdžF FDF@N  ȉ  + !  SR1ۉZ[ʉЉ Ј  ЈA  ЈA  ЈA ЈA ЈA ЈA ЈA Ӄ n FDxX Fᐐjdždž8NjFGFGG@BGFHNLW_qV^FVDzjV,JND QFH$QP$ JYXFᐐNDyiG G] UO 1ىO3_W ڃhGEG E UDO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[$G (G]UO 1ىO3_W ڃhGEG EUpO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[EG W ^UG SRW؉ы ËGFʉكЃ +^u S{WG _Z[E G W ^U G SRW؉ы ËGFʉكЃ +^u S{WG _Z[E,G W ^U,G SRW؉ы ËGFʉكЃ +^u S{WG _Z[G G ]$U(O1ىO3_ W ډwG E(GE$ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[RNDQ At2AJIȋH9uJH B R uZLVSRWU@F^ CK 9Ju P 9Xx~DWxP G^ F]_Z[VDzjV,JND BXFᐐNDyi]@3$ + @4 5= @5|@6@@76 7@7h @95 9@9@p;@<z <@< J@X>y >@>"@8@/#@@# pA +$@pA& 'p+"0 Y22 +S| 2(Q*2S%@N E@E5@FP@I `J3@`J + @L HL1 @HLb@8N@N Ok@O paC0#V(@`@NDiU E Ӂ nUU UDFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U1ى Ӂ ڃiUUUpFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E UUSRWЉщ߉ËGFʉكЃ +^u S{WG _Z[E UU SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[]$U(1ى Ӂ ډxU(U$FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E, UU,SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[U4U0dž @Bdž FHNL qV^FVDV,JND QFH$QP$ JYXFᐐNDiFVF@PFDPFPFPFDdžX hY džFFDF@FVn F@PFDPF PFPFPFDdža hY +džF FDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@RNDQ At2AJIȋH9uJH B R uZNDAF,H ND S,^,C,C[X Fᐐ FGFGU4U0U4U0 ¸VFF F@PFDPF PFPFPFDdžT hYdžF FDF@N  ȉ  + !  SR1ۉZ[ʉЉ Ј  ЈA  ЈA  ЈA ЈA ЈA ЈA ЈA Ӄ n FDxX Fᐐjdždž8NjFGFGG@BGFHNLW_qV^FVDzjV,JND QFH$QP$ JYXFᐐNDyiG G] UO 1ىO3_W ڃhGEG E UDO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[$G (G]UO 1ىO3_W ڃhGEG EUpO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[EG W ^UG SRW؉ы ËGFʉكЃ +^u S{WG _Z[E G W ^U G SRW؉ы ËGFʉكЃ +^u S{WG _Z[E,G W ^U,G SRW؉ы ËGFʉكЃ +^u S{WG _Z[G G ]$U(O1ىO3_ W ډwG E(GE$ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[RNDQ At2AJIȋH9uJH B R uZLVSRWU@F^ CK 9Ju P 9Xx~DWxP G^ F]_Z[VDzjV,JND BXFᐐNDyi]sxy T7X*O<dY:U;V7O`z8J>R +~zU'R<}it0S7Djo +, +# o xV:S111T\ +K87pr8J?[2tuX;T&C0h +U6Y7Z;Clv4F2^rvY+^0qe +xZ?Mql zU"@|}q &T Lcpkh!  !  } eJW9L4+,ajj[3cXg;DXl g;g;`XX*g;g;3cXl0)(*(D(w*0*+(`/(@yhJ$@R$p30@@ @䑜V(W(#@ @I$ @@F C!P  8CC@! 3)O8D@!4)O8\HDA!pEE@A!XbFA!}XFhFA!lB!05)OxFF@B!PEFFXDh +g +INFO: WISHBONE MEMORY MODEL INSTANTIATED (gB)gLB Memory Size g@B address lines g C words +"D2Z BWP` BZWX BWZ CWtZ (CW-X%4 +L@ + p + ` p +  X    X | @  X 4 @P %@ %M@! FFD!@ +GGD!  @GXGE! GGXE! +RPGGF"XDDD +EuXE%R@`PP@ PPP%)@H!(<HH"=F%NP(" 1%@"S% @p"f2%  @ W@@"f2%  @W@@@@"f2%  @W@@"f2%  @W@@"f2%  @W@M@"f2% h/@h{@(@(0#V(@`@NDiE, ]0 ډBU0U,1 t+UHi @u i M u]E UUSRWЉщ߉ËGFʉكЃ +^u S{WG _Z[dž dž FHNL qV^FVDV,JND QFH$QP$ JYXFᐐNDiFVF@PFDPFPFPFDdžX hY džFFDF@FVn F@PFDPF PFPFPFDdža hY +džF FDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@ +SF^F FF@PFDPFPF PFPFPFDdž[ hYdžFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@ SF^F FF@PFDPFPF PFPFPFDdž[ hYdžFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@RNDQ At2AJIȋH9uJH B R uZNDAF,H ND S,^,C,C[X FᐐND< 艆  !鉎 !Ջ   ҋ Ӌ 鉎 !݉# NDQSRWى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ !Չ# NDQSRWى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[VDV,JND BXFᐐNDHND Y i  Ѓ + ! ى ٹы !͋NDYSR1ۉZ[ʉЉ  i A A  Ջ  Ӌ  ى Q i A A  Ջ  Ӌ  ى  Ջ ӋNDQn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDijdždžNjVDzV,JND BXFᐐNDyiUHWUDW ډ#Oы!ʉ@U<SC GW؉у ȉ/U@G O YO Q GGEG G _ _W3W ىOW W ىO O OOO] UGGEO3OO _3_W ډ ?UONONNDiyXFᐐNDiyU<SC GW؉у ȉ/U@G O YO Q GGEG G _ _W3W ىOW W ىO O OOO] UGGEO3OO _3_W ډ >UONONNDiyXFᐐNDiyU<SC GW؉у ȉ/U@G O YO Q GGEG G _ _W3W ىOW W ىO O OOO] UGGEO3OO _3_W ډ <UONONNDiyX FᐐNDiyU<SC GӋW؉у ȉ%U@G O YO Q _WEG G _ _W3W ىOW W ىO O OOO] U_WEO3OO _3_W ډ ?UONONNDiyX FᐐNDiygE G]Eځ_ Ӊ UFFNDiyX +FᐐNDiyNDiVDV,JND BXFᐐNDiE<  A A   Ӌ ډЋ  ȉ BU@ Y Q   U8 Y Q   8 3 ى ى U E Љ%Ë  ى %Ë  ى E E   Ӌ ډЋ  ȉ BU@ Y Q   U8 Y Q   8 3 ى ى %Ë  ى %Ë  ى E E ꋆ 艆 Ӌ ډЋ  ȉ BU@ Y Q   U8 Y Q   8 3 ى ى %Ë  ى %Ë  ى E E Ӌ ډЋ  ȉ 8U@ Y Q U8 Y Q 8 3 ى ى %Ë  ى %  Ӌ E ]N@iNDy]H@GNDiyF@A AX +FᐐNDiyA F@AF@F@N@QЈAN@iNDy]D ى #dž dž VEH 艆  !щ ы !ʉ Ӊ# ډ  U$E( Ӌ 鉎 닆  ۋ 8 3 ى ى ]$U( 1ى 3 ڃG E( E$H u H A ЈAF@F@N@QND 艆 ND ND   ы !ʋ ՃӉы !ʉ؉у ȉ ND 艆  !ى щ!ˋ Ջ ډ!鉎 鉎 4  ظ‰ ى Ѓ + ! ى ٹы !ȉ NDxND|Ӌ ꋎ ꋎ ى щ 8 3 ى ى !݉!ӋNDQSRWى׉ËG %FʉكЃ +F G~;~ F@BF_Z[F`N`SRWӅɋxtHKSNVPV )ʍPVt5VPN9ʍRVt%Vы9ڍRuNSVX{HKN K _Z[VDV,JND BXFᐐNDT FGFG    dž ҉ ȉ  + !  SR1ۉZ[ʉЉ  A A + A +  ى  + Ӌ + ى + Q A A A +  ى  Ӌ + ى  +3 3 ڃ Ј  ЈA  ЈA  ЈA ЈA  ЈA  ЈA  ЈA1 t+UHi @u i M u]  ¸VFF F@PFDPF PFPFPFDdžT hYdžF FDF@N  ȉ  + !  SR1ۉZ[ʉЉ  A A A   ى  Ӌ  ى Q A A A   ى  Ӌ  ى 3  Ј  ЈA  ЈA  ЈA ЈA ЈA ЈA ЈA1 t+UHi @u i M u]Ӄ  + FDxX FᐐNDFiyPF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyiEGE GGO%Ë ىO GO%ËW ىOO 3OO_3_ WkG EGE ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ MH;N,S?[ F, H ND X FᐐNDFiy`F,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyiEGE GGO%Ë ىO GO%ËW ىOO 3OO_3_ WkG EGE ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ MX;N,S?[ F, H ND X FᐐNDFiypF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyiEGE GGO%Ë ىO GO%ËW ىOO 3OO_3_ WkG EGE ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ Mh;N,S?[ F, H ND X FᐐNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyiEGE GGO%Ë ىO GO%ËW ىOO 3OO_3_ WkG EGE ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ Mx;N,S?[ F, H ND X FᐐNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ڃiEGE ONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ ;N,S?[ F, H ND X FᐐNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD EGE U4GOYOQ G GG@BGFHNLW_qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyiO OWЃGOW +OG!ȉGGG_ ӋW ډ~GSR1ۉZ[ʉЉGOOAG$OAG,OAG4W$ ىOW,_ ӋW4 ىO8OQOAG OAG(OAG0W  ىOW(_ ӋW0 ىOO83OO 3_W ڃWOЈWOЈAWOЈAWOЈAOЈAOЈAOЈAOЈA1 t+UHi @u i M u]NDAF,H ND@ ;N,S?[ F, H ND X Fᐐ#@`V(  @@@@D@TA@A|AABă@B@BV(BtXDDDԂE܂XEFӵ՚ܒА禢uKɘp!jDk3cX|ݶұްݼěر®˧Πխ꣍猢շ̭ުϽÎŶ߸73cX;| 4H;;`X4gs8ss hss/tl~xxx @x|:x@60]p   48    $(<@@, D H LPTX\`@0 d h lptx|@4   @8   @<   @@   @D    @H $ ( ,048<@@L D H LPTX\`@P d h lptx|@T   @X   @\   @`   @d    @h $ ( ,048<@@l,X4`<hDp Lx(T0\ 8d @ t        + +, +@ +T +h +| + + + + + + + +  ( < D X ` t |        $ 8 @ T \ p x        + +4 +H +\ +p + + + + + + +@ӵԝݕѓ𣥧楣s[>t>jDk3cX|Ʃ۰ԷضۺƠõٶйע靝բ֥ɨ޻ňǿڼ߾ڽ63cX;| 4H;;`X40tx8t 8tptl xxz @x|x@%"ʯQ   $(,x    4&`x*x.04@| 8  <@DHL@ P  TX\`d@ h  lptx|@   @   @   @   @   @2:BJRZbjr$@8ld  @lH|4Ld|,@Th|@@@@@@@@ǺQ(R(#@ @?$ @@@!p| A@!H 0A@! h-)Olx@Add%)@`%)@8 %@ 0#Q(@`@NDiVDV,JND BXFᐐNDi] ډ^UE Ӄ UUElH u H A E A A ы !ˋ  Љ ы !ˉы !ʉ^UE Ӄ UUElH u H A   щ!ʉ Љ ы !ˉы !ʉ^UE Ӄ UUElH u H A  щ!ʉ Љ ы !ˉы !ʉ^UE Ӄ "UUElH u H A \UE Ӂ UUElH u H A NDiVDV,JND BXFᐐNDi] ډ^U E Ӄ UU ElH u H A (]C S ы !ˉ Љ ы !ˉы !ʉ^U E Ӄ UU ElH u H A YU E Ӄ iUU ElH u H A 2NDA NDA NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND#@`Q(  @$@@,@4@AQ(AN!N#N%N'O)O+P-Q/ӵЉ凄ɁݟΙʵ(Ey~jDk`XDjrkE2P|fH+sp9Tzd20*\/[[5V L#DDi*n=q8zz[zT{|t[)\8Q~ysd;X7E S| i6U:T9X |xW%Q=bx:H=S|qip_Mbw(K$J'F>sxs\*O=T8W00010010R7Y:R} nuv0f[6Y=X4kj e n3EEkD,C.KdcnA#F(L)[gzlC4V jkg0R7Y:R} nuv?>>?>>sxs\*O=T8W00G%z eL!N*O# +{{`Xg;,0P*19@0@@@;N;N;t&9~/@@1֛!!3!!e @`@|@depe@@dxBDOe0Jd۴ƭ @dAd @ٻ΢-A߬-B̨-Bɠ-BȧҦ-%Cη-*@Cު-/hC޻-4C հ-8D̯-=(DȺ-BPD߫-GxDB@ 0@@B@0@@.@ PB. +@ pB+8BXB CB@@@@xBC@@ A@xB . P PB. P pB+BBC@C@`$A 0GhCB@ `DA@C@C@`\A@.p C. p pB+CCDD@ptA@C(DB@A@PD@ +A xD@A "DA@ A &$Š͒. D +hED@DD*hEhEd ѫ. E-D]E(E. EK8EHEFC@ EXE.Ed.0 PB.0 pB+@EE6E-0hE.0 pB+@EE9FHF@ +0EE2Eæ˔惃FC@ @.P PB. P pB+FFB0GٴHGF@PFF@.` PB.` pB+@G GHG@P$A CصGF@`tG0GEG@A @H@A . PB. pB+@GHMH ͨěHxD@4HHI. H. pB+@hHHTNˮ£PLHF@HHPPL  I-0H}hIH0IHI. 0 pB-@PD}IH@XII.@ pB-PxD}JHPII.P pB.` J|0JH`(ei`IJ(KHp@d,e(K$0:ppJJ/ +INFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)`)m%( DETAITNATSNI LEDOM YROMEM ENOBHSIW :OFNI +H@d0ec0:pKxK/ Memory Size %0d address lines %0d words +` +sdrow d0% senil sserdda d0% eziS yromeM -D- hE. EJ + L0LpL@HW0M@L- HF}pLaL M-@C-hCYLL-D=MYLMM@hM- F}0MeXMM-@C-hCYMM-DYMMpU@N- F}M lNN-D. EJ@NPN.  J4BN+`NpNiO3FN- C-F-HF-#(DmNNmNOmNO- HF- (DY 0O@O8U0O.@ PB. @ O4@CO+OOrP~@Q`O@O PPx@Q.@ Ei@PO.@ C3@C0P."@ PB.%@ O4@FP+XPhPu(Q.*@Ph@@PxPP.P P. P Q4PC(Q+PPzQ~R`OPQQRR.P EiPpQ@Q.P J3PCQ."P P.%P Q4PFQ+QQ}xR.*PPhPQQR.` @R. ` `R4`CxR+(RHR8S~S`O`hRR`SS.` Ei`RR.` E3`CR."` @R.%` `R4`F8S+SSS.*`Ph`R(SPS.p S. p pB4pCS+xSSxT~`OpSTTT.p EipTS.p pB3pC T."p S.%p pB4pFxT+HTXT8V.*pPhp0ThTT- C~8U TUU(\. EiTT. 0UpU @HH_h"^_X_Y^p_$Χ˧G@ _`_dx`ʯ!(`__@x_!P`_ $`_ +_@_c-0(`}c0``.0 pB-0(`- 0hE.0 EJ +0`aU 0`a-0(`}c08aa-0(`.0 EJ0paac@a- P_aPaa(cbPb.` pBwb- `(`3 `F(b}a`8bb.` @R.` pB4`(`b+xbbc>`b1`Te.` @R.!` pB4`(`c+bbHcc.p Ew8c- p(`3 pFXc}aphcc 6pxd_x`0`(aHaa _(`,hCH(DD_FPD@HBxdpdJhddJpcdczdhEzEzdNz[ +@@@A0AHA`AxAAAAA#JsJXKLCLcUK[\]] ^bcìȽѴ)>e6e?e@^e ^zEt_="zA?3!@!CHGPDGxDG_P`A@B@B@BAC0A@CHAhC`ACxADA(DAPDAxDApJYXK[L]@L_pU`UX[H[\\^^ӵӆ䄅Ȟܜϖʪo{Gy=jDk`XFhpiG0R +`r(eKewY4vq|RcPdJ>>[SD78}7E0T=p{zW4[)L?gZ9V8U4Lcy;I=Q}yV$Q?~jw3[?S} j96qyW!@222V[V%*mo +%W"F/bi +hE&I;^-uH+D*G&^qv{[5Q4Fzgq^)Kwvz-O*D'O`shk " # +# " # +# n enA7R I%J--Z8g +edW3V0Y7R!yy`Xg;,0P+2:0@000<L};L};tȔHu;>@HE@!!3!! @`@|@ @P'xBEiHJ`۴ƭ +@`A @ ޼΢-A߬-B̨-Bɠ- BȧҦ-&PCη-+xCު-0C޻-5D հ-98D̯->`DȺ-CD߫-HDB@ 0@@B@0@@. @ PB. @ pB+8BXBBB@ +0@ XE.P PB. +P pB+BB8CPC@P A@B. ` PB. ` pB+C(CCxC@@$A ECB@PDA DxC@ P\A . C. + pB+CCE8D@ `tA hF"`DB@pA )D@ A@-D@A@/EA@A@1$Š͒. EpE8D@DD3XEH.0 PB.0 pB+@8EHE EE@@@ xB.@ PB.@ pB+@EEhFE@`$A 8C F@ +pDA F@p\A .` C.` pB+@HFXF&FF@tA CHG@ A . PB. pB+@FF;0G-E. pB+@G G>NxG@ + GF70GΠ!@KHG@ tGA@K G-PB}0HGPGH.P H-`PC}HG` HXH. ` pH-pxC}HGpxHH.p pB-C}IGHH. pB-D}pIGI@I.XI-8D}IG`II.I-xG} JGII. pB. J|HJGiJ JGM$0<xJJ0 +INFO: WISHBONE MASTER MODEL INSTANTIATED (%m) +` +)m%( DETAITNATSNI LEDOM RETSAM ENOBHSIW :OFNI +pK@GE$Š͒XN`D@ dKKPHR-PD> +PhRY PXRxR-PAkPR|R!PjPRRSOPRR.` J|HSO`i`SS-pxC}SOp8SpS.p pB-C}SOSS. pB-B} TOST. pH-PC}hTOTHT. pH-8D}TOXTT.I-D}TOTT.XI-B}OT U6 0ZXN OٻlxG@ +TUUUlXf` . PB. + pB+UUl V8V`U +N@Uhx`VU. C.  pB+VVsVVU`U PH\-PD> +Ph\Y PX\x\-PAkP\|\!PjP\\ ]XP\\.` ]|X]X`i`] ]-pC}]XpH]].p pB-8D}]X]].I-PC}0^X]^. pH-B}0_X ^X^6  g`U- V0_^- +Ak ^|_^j^^. J|^ i ^_`_Xx^^- C}_X P__. J-0 B} `X0 _`-0 U.0 _J0 __-@ PC}h`X@ `H`-@ pW-P 8D}`XP X``.P J- ` Ak` `|aX` j` ``- p `D>p `-p D> +p aY p `a-p Akp 8a|a!p jp HaXaaXp (aXa. ]|aX i aa- C}0bX ab. pB- 8D}xbX bXb. I- PC}hcX hbb. pH- Vhc c- + Ak b|@cb j bc. + J|b +i +0c@ccX bb- +C}cX +cc. + J-0 +B}XdX0 +c8d-0 +U.0 + 0dJ0 +dd-@ +PC}dX@ +Hdd-@ +W-P +8D}dXP +dd.P + J- ` +Ak` +d|eX` +j` +dd- p +`D>p + e-p +D> +p +@eY p +0ePe-p +Akp +pe|e!p +jp +eeeXp +`ee. + ]| fX +i +ee- +C}hfX +fHf. + pB- +8D}fX +Xff. +I- +PC}fX +ff. + pH- +B}gX +f g6 +k`U- +Vg +g- + Ak xg|gPg j gg. J|Pg i gg(hX +@gPg-0 C}phX0 hPh.0 J-@ B}hX@ `hh-@ U. @ h J@ hh-P PC}0iXP hi-P `X-` 8D}iX` iXi.` J- p Akp hi|HjXp jp xii- `D> i- D> + iY ii- Ak j|Hj! j j jxjX i j. J|jX i hjxj- C}jX jj. pB- xC}@kX j k. pB- B}kX 0khk. pH- B}kX xkk6 o`U- PC}(lX kl. pH- 8D}plX lPl. I-0 D}X0 `ll.0 XI pWW`X,ݿעκw`U@ lXm0mwnp. PB. + pB+lmmml + N@mxm0m. C. pB+hmxm(xm0ml `0t-`D>`PtY `@t`t- `Ak`t|t"` j`tttp`ptt.p ]|0uppiptt-C}xup uXu. pB-8D}uphuu.I-D}vpuu.XI-PC}Pvpu0v.  pH-B}p@vxv6 +lvn +o8pXpp-xC}wnvv. pB- B}`wn w@w.  pH-PxG}nPPww-PxG-P nJ +Pww nnٻC@ +whx@xPz0. PB. + pB+xxxxw +N@(xxx@x. C.  pB+xxx`x@xw 0@~-0D>0`~Y 0P~p~- 0Ak0~|~"0 j0~~@H}0~~-@(z- @xGJ@~3@HG}H}@(h-@B.` ]|H}`$i`x-pC}H}p.p pB-8D}PH}0.I-D}H}@x.XI-B}H}. pH- x(-Ak +|`(j(. J|,iP`H}Ѐ-8D}H}. pB-D}H}(-xpPzX|||H}-@B}Pz@`؂-@@x- @(z. @ _L +@J@Ȃ-PC}PPzP .P J- `Ak`0|Pz`0j`@P- p`D>px-pD> +pY p-pAkpȃ|!p4jp؃xPzp-(z- +xGJ0@3HGP}Pz +`-B. J|Pz8i-C}@Pz . pB-8D}Pz0h.I-D}ЅPzx.XI-B}Pz. pH-xG}ЌPz`-xG-0yJ +@P-P(z}ЌPp.P pB-P(z-PxyU PȆ-P(z}ЌP@-P(z.P JJP 0Ќ`0- px0Ї-Ak +|<jЇ. J|@i`Ppx-B}PPȈ-@x- (z. _L +J -PC}hP؈@- (z-xGJ 3 +HG0-C}PX. J-8D}P؉. J-D}PP -x- Ak + 0|P Dj @P- +0`D>0x-0D>0Y 0- 0Ak0Ȋ|"0Hj0؊@P0.@ ]|xP@Li@0@-PC}PPh.P pB-`8D}P`.`I-pD}PPp0.pXI-PC}P@x.  pH-B}P. pHPzP؆P-xC}PPz0. pB-B}Pz@x. pH-xG}Pz-xG-xyJ +Ѝ xy0y(zٻ8B@ +xh. PB. + pB+@PЎ +N@`xx. C.  pB+ x 8-D>XY Hh- Ak|Ж"djx. ]|8hi-C}(`. pB-8D}ȗp.I-D}.XI-PC}X8.  pH-B}H. pHȘh@-0xG}0h0-0xG-0J +0-@C}h@ X.@ pB- P -PAkPx|PljPhPh.` J|(h`pi`-D}phP--8D}h`. pB-@}. pB-@-X. JKU  -@}@-@. JJx-B}hЛH-x- @. _L +(J 8-C}8X. J- +`D>-D>Y М- Ak|8"tj-@- xGJXh3HGx}ȝ-B. ]| xi؝- C}h H.  pB-08D}0X.0I-@D}@؞.@XI-PB}P .P pH- ` p-Ak +h|@|jx. J|@i`0@-8D}`@. pB-D}P-Рh0P-B}Xh8-x- @.  _L +J(-C}hH. J- Ak|phj- `D> ء- D> + Y - Ak (|p! j 8Hآh H-0@- +0xGJ030HG} h +0-0B-@xG}h@h-@xG-@XJ +@HX.` J|h`i`x-xC}h. pB-C}Ph0. pB-8D}h@x.I-D}h.XI-B}hФ. pH X@ٻl@ +,ȥīp. PB. + pB+hx8 +N@x8. C.  pB+إh8 -D> +Y -AkШ|!jH-}8p-B. J|ȩi-xC}. pB-C}X8. pB-B}H. H-PC}Ȫ. pH- 8D}0 ت. I-0D}x0 X.0XI-@B}@h6 @8 ٻ`D@ +ԫpHD p#. PB. + pB+  +N@0 xH.  C.  pB+HH `-`D> +`Y ` -`Ak`@|!`j`P``0`-p`}p-pB. ]|8i-C}(`. pB-8D}ȳp.I-D}.XI-B}86 - - +Ak |hj. J|h i ش@Xh- 8D} 0h. pB-0 D}е0 x-0 -P B}8P -P H.P _JP -` C}` (`.` J- p Akp p|Pp jp - `D> - D> + ضY ȶ- Ak |P! j ( (- Э}ȷ p- B. ]| i ȷ- C}H (. pB- 8D} 8p. I- D}ظ . XI- B}ع ȸ6 Ƚ- ع!x- +!Ak !X|0!j!hx. ! J|0 !i ! 0-@!8D}P@!0.@! pB-P!D}P!@x-P!-!B}!-!H.! 0dJ!к-!C}X!(.! J- !Ak!8|!j!HX- !`D>!-!D> +!Y !-!Ak!л|!!j!H!-!@}!8p-!B.! ]|ȼ!i!-!C}!.! pB-!8D}X!8.!I-"D}"H."XI-"B}"Ƚ6 " - "0"@- +@"Ak @" |x@"j@"0@.P" J|P"iP"hxо "-p"8D}p".p" pB-"D}`"@-"-"B}ȿ"P-"H. " hJ"-"C} "." J- "Ak"|"j" - "`D>"H-"D> +"hY "Xx-"Ak"|!"j""-"}X"8-"B." J|"i"HX-#C}#.# pB-#xC} #.# pB- #8D}h #H. #I-0#D}0#X.0#XI-@#B}@#.@# H-P#B}P# 6 P# Э@,ݿıݩw@#TX90'.# PB. +# pB+? 8` +#N@;x`.# C. # pB+Fp` # %- %D> % Y %0- %Ak %P|" %j %`p %@p-0%0- 0%xGJ0%30%HG}H0%(-0%B.@% ]|@%i@%8H-P%C}P%p.P% pB-`%8D}`%.`%I-p%D}Xp%8.p%XI-%B}X%H6 +%`- %pX%-%Ak +%|0%j%.% J|%i% 0%-%8D}%x.% pB-&D}&-&8@X$-0&B}X0&0-0&- 0&0. 0& _L +0&xJ0&h-@&C} X@&.@& J- P&AkP&|XP&jP& - `&`D>`&H-`&D> +`&hY `&Xx-`&Ak`&|!`&j`&HX`&-p&0- +p&xGJp&3p&HG }X +p&0p-p&B.& J|X& i&-&C}X&.& pB-&xC}XX&8.& pB-&8D}X&H.&I-&D}X&.&XI-&B}@X&6 &`-'xG}X'0-'xG-'J +'hx 0,`DpKXNPC8D|JU|JM8_Mz0G +l@@@A0AHA`AxAAAAA;JJCP;RR+S#Y[YZZ\;]^#_`saa#c[ceefggi;jj#oKqqstuzz|~C{kۄ#k[ː+Ö +۟ˡc s3{˴C˹s [;scK;ìȽѴ)5667u0xGģ8x8xDGDGDlj#lj#3!@!BpEPCExCEC FDF8DFVXmXn nnxy0yyxyz ȏXpA@B@B@BAPC0AxCHAC`ADxA8DA`DADADAJGӵ݀➟ҘږŐ쵷̩)WjDk`XEksjD3Qml`G3\,OaO9U:]s0\5[.VxIzN`eji#`$w;r0001Y6[>cr4V3]9\.q}j6A#|psjE6_2ow([2_pw6X;L#Q:vaO#J( ()J.]sv59q5y/n<<<=U:W2o~8Z?Q5P"}qf:M/p|fI:S>c{$W>S|{:T7@/]6qyW!@223[?S} j95|2q5|.../G(E }l*H-C'B0o ct(_=bnm t[)]1h +zrSr\r]s]r\r]s]rt7A$V?S<[z[[,NrsXR;R;ts S@@@D @`$!!""E"@E"3!! @`@|@@`(P0_0_F(x۴ƭ@x0_ @8̠˔- xiݮڅ- i(ͬع描- P j(ͬع扉-Pj(ȬȺ匌-P k$ټЏ-)Pk ܹ描-2Pk$ɰӌ-z@ G@@z@ H@B{@ ,HD@{@ LHF{@ lHH- +0_. + + x`K +h{x{. + _+{{L(|@|q@ +H@{J-  +0_.  + x`K + +{{. + + _+||Q||@ +H(|O- +x_. + + x`K +h|x|. + _+||V(}@}@ +H@|T-0 +Hi. +0 + x`K 0 +||. 0 + _+}}[~h}@0 +H@(}Y}@@ + I@^}@P +,I@`}@` +LI@b~(f@p +lId0~@ +If~@ +Ih- +0_. + + x`K +X~h~. + _+x~~l0@ +I@~j- +0_. + x`K + +~~. + + _+~q@ +Io- +x_. + + x`K +Xh. + _+xv0@ + J@t- +Hi. + + x`K +. + _+{Xp@ +,J@y@LJ@~@lJ@Ѐ@ J@@0J @@J@PJ-0_. + x`K HX.  _+hx @ K@- 0_.  x`K +ȁ؁. + _+@,K- x_.  x`K +HX. + _+hx @LK- Hi.  x`K +Ȃ؂. + _+xH@lKp@K@K@K@K@@ L@`a@,L@-@0_. +@ x`K @8H. @ _+Xh@@LL@x- P0_. P x`K +PȄ. +P _+؄x@PlL- `x_. ` x`K +`8H. +` _+Xh@`Lx- pHi. p x`K +pȅ. +p _+؅h8@pL`@L@L@ M؆@,M@@LM@@lM@-0_. + x`K (8.  _+HX@M@h- 0_.  x`K +. + _+ȇ؇h@M- x_.  x`K + (8. +  _+HX@ Mh- 0Hi. 0 x`K +0. +0 _+Ȉ؈X(@0MP@@ Nx@P,N@`LNȉ@l@plN@hl@N@pl@N@-0_. + x`K (.  _+8H؊@N@X- 0_.  x`K +. + _+ȊXp@N؊- x_.  x`K +(. + _+8H؋@ OX- Hi.  x`K +. + _+ȋHt@,O؋@@LOh@lO@ O0o@0O@Xo@@O@`o@PO@-0_. + x`K .  _+(8 ȍ@ P@H +- 0_.  x`K +. + _+H`@,Pȍ- x_.  x`K +. + _+(8Ȏ@LPH- Hi.  x`K +. + _+8xi@lPȎ0~@PX@P v@P" r@P@$ЏHr@ Q@&Ppr@,Q@(-@0_. +@ x`K @. @ _+(,А@@LQ@8*- P0_. P x`K +Px. +P _+18P@PlQ/- `x_. ` x`K +`. +` _+(6Б@`Q84- pHi. p x`K +px. +p _+;(@|@pQ9 @Q>H@Q@p@ RBu@,R@D8u@LR@F@`u@lR@H-0_. + x`K .  _+L@R@(J- 0_.  x`K +hx. + _+Q(@@RO- x_.  x`K + . +  _+V@ R(T- 0Hi. 0 x`K +0hx. +0 _+[@0RY@@ S^8@P,S``@`LSbx@plS@d(x@S@f0Px@S@h-0_. + x`K ؕ.  _+l@S@j- 0_.  x`K +Xh. + _+xq0@So- x_.  x`K +ؖ. + _+v@ Tt- Hi.  x`K +Xh. + _+x{ؗ@,Ty@LT~(@lTP@ Txz@0T@{@@T@ @{@PT@-0_. + x`K Șؘ.  _+@ U@- 0_.  x`K +HX. + _+hx @,U- x_.  x`K +șؙ. + _+pb@LU- Hi.  x`K +HX. + _+hxȚ@lU@U@U@@Uh}@U@@ V@0~@,V@-@0_. +@ x`K @ț. @ _+؛x@@LV@- P0_. P x`K +P8H. +P _+Xh@PlVx- `x_. ` x`K +`Ȝ. +` _+؜x@`V- pHi. p x`K +p8H. +p _+Xh@pVx@V@V0@ WXЀ@,W@@LW@ @lW@-0_. + x`K .  _+Ȟ؞h|@W@- 0_.  x`K +(8. + _+HX@Wh- x_.  x`K + . +  _+ȟ؟h@ W- 0Hi. 0 x`K +0(8. +0 _+HXء@0WhР@@ X@@P,X @`LXH@plX@p@X@@X@-0_. + x`K .  _+ȡXp@X@ء- 0_.  x`K +(. + _+8Hآ@XX- x_.  x`K +. + _+ȢXp@ Yآ- Hi.  x`K +(. + _+8HȤ@,YX@LY0@lY@ Y8@0Y@`@@Y@@PY@-0_. + x`K .  _+ H`}@ Z@Ȥ +- 0_.  x`K +. + _+(8ȥ@,ZH- x_.  x`K +. + _+H`0`@LZȥ- Hi.  x`K +. + _+(8@lZH@Zئ@Z @Z"(@Z@$P@ [@&Ч@,[@(-@0_. +@ x`K @x. @ _+,8P@@@L[@*- P0_. P x`K +P. +P _+(1Ш@Pl[8/- `x_. ` x`K +`x. +` _+68P@`[4- pHi. p x`K +p. +p _+(;x@p[89@[>ȩ @[@@ \B @,\@D@@L\@F@l\@H-0_. + x`K hx.  _+L(@@\@J- 0_.  x`K +. + _+Q@\(O- x_.  x`K + hx. +  _+V(@@ \T- 0Hi. 0 x`K +0. +0 _+[h@0\(Y@@ ]^@P,]`@`L]b@pl]@d0@]@f@]@h-0_. + x`K Xh.  _+xl0@]@j- 0_.  x`K +ح. + _+q @]o- x_.  x`K +Xh. + _+xv0@ ^t- Hi.  x`K +خ. + _+{X@,^y@L^~@l^Я@ ^@0^@ @@^@@P^@-0_.  x`KHX.  _+hx 4݂ίۺ匌P@-0_.  x`K.  _+4݂ίۺ劊@< -x_.  x`Kx.  _+P4݂˯˹扉x@Ա-Hi.  x`K .  _+0@00܃ؽю@lP,Üæq@0܃ȱҍ @ܲ0܃جΑX@ 0܃ʩ@0L0܃μΑȳ@@0܃٭ԋX @P-p0_. p x`Kp. p _+ 0蛫t@pL0-0_.  x`K.  _+P0蛫x@ܴ-x_.  x`K .  _+0@(P@lP-Hi.  x`K.  _+е$@@ x0@ +4$@l$P@$뀀 Я@ܶ$X@$@@L-0_.  x`K.  _+P0蛪xp@ܷ- 0_.  x`K  .  _+0@0蛪8@ lP-0x_. 0 x`K0. 0 _+иp(w@0-@Hi. @ x`K@0@. @ _+P`P$й@@p  @ +PĹ$@@`$xh}@p4$ꁁ@l$Ч@$x@ܺ-0_.  x`K .  _+0@0蛩@lP-0_.  x`K.  _+лp0蛩@-x_.  x`K0@.  _+P`((@p-Hi.  x`Kм.  _+$`@ `@ +T$н@$쎎@Ľ$邂@e@ $x@04$픔@@l-P0_. P x`KP. P _+о p0蛨8@P +-`0_. ` x`K`0@. ` _+P`0蛨(@`p-px_. p x`Kpп. p _+(@p-Hi.  x`KP`.  _+pp$@ (X@ +$`@ $폏@T"$胃@$$@&$압@(-0_.  x`K0@.  _+P`,0蛯(@p*-0_.  x`K.  _+10蛯@/-x_.  x`KP`.  _+p6 (H@4- Hi.  x`K .  _+;$@ < 9 @ +0t>$@@@$ꈈ(@PB$`@`D$@pTF$뒒(m@H-0_.  x`K.  _+L0蛮@J-0_.  x`KP`.  _+pQ 0蛮H@O-x_.  x`K.  _+V(@< T-Hi.  x`Kp.  _+[$@Y H@ +^$@<`$뉉@tb$@@d$(X@f$ꓓ@ h-00_. 0 x`K0P`. 0 _+pl 0蛭H @0j-@0_. @ x`K@. @ _+q0蛭@@< o-Px_. P x`KPp. P _+v@(h@Pt-`Hi. ` x`K`. ` _+ 0{ $@`\@y @ +p~$@$芊H@$톆@<$@t$鐐H@-0_.  x`K.  _+0蛬@< -0_.  x`Kp.  _+@0蛬hw@-x_.  x`K.  _+ 0(@\@- Hi. x`K . _+$0@  hp@ + $$@ \$鋋@0 $쇇@@ $H@P $葑@` <-p 0_. p x`Kp p. p _+@0蛣h@p - 0_. x`K . _+ 00蛣(@ \@- x_. x`K . _+`(@ - Hi. x`K 0. _+@P@$@ |` @ + $0@ $愄h@ $$㈈@ \$@ $瞞h0@!-!0_. ! x`K!. ! _+ 00蛢@!\@- !0_. ! x`K !. ! _+`0蛢@ !-0!x_. 0! x`K0! 0. 0! _+@P(@0!|`-@!Hi. @! x`K@!. @! _+$Pq@@!  (@ +P!D$@`!|$煅@p!$≉0@!$h@!$$柟@!\-!0_. ! x`K!. ! _+h4隫Ġԋh@!-!0_. ! x`K!(8. ! _+HX4隫Ġԋ(@!h-!x_. ! x`K!. ! _+,ڻ߻@!-!Hi. ! x`K!P`. ! _+pp(ۨ͡@!$د(@!(۸`Џ@"(ܾۨ@"T(ۺٲ@ "(۾̾@0"(۩ݤ@@"-P"0_. P" x`KP"0@. P" _+P` 4隫šՊ8@P"p +-`"0_. `" x`K`". `" _+4隫šՊ@`"$-p"x_. p" x`Kp"`p. p" _+0,ۺ޺X@p"-"Hi. " x`K". " _+ (ک̠@"L0$ٮ@"(ڹH@" (کݿ8k@""(ڻسp@@",$(ڿͿ@"d&(ڨܥ@@"(-"0_. " x`K". " _+,4隫Ƣ÷։X@",*-#0_. # x`K#hx. # _+1@4隫Ƣ÷։h@#/-#x_. # x`K#. # _+ 06,عݹ@#\@4- #Hi. # x`K #. # _+;(٪ϣ00@ #9$ڭh@0#$>(ٺàx@@#\@(٪޼@P#B(ٸ۰@`#D(ټμH@p#F(٫ߦ@#<H-#0_. # x`K#p. # _+LH4隫ǣ¶׈x@#J-#0_. # x`K#. # _+(8Q4隫ǣ¶׈x@#dHO-#x_. # x`K#. # _+Vp,ٸܸ@#T-#Hi. # x`K#0@. # _+P`[P(ث΢@#pY$۬@#^(ػ¡@@#`(ث߽x@#4b(عڱ@$ld(ؽϽ@$f(تާ@ $h-0$0_. 0$ x`K0$ . 0$ _+0@l4隫űЏ@}@0$lPj-@$0_. @$ x`K@$. @$ _+q4隫űЏz@@$o-P$x_. P$ x`KP$@P. P$ _+`pv,޿ۿ8x@P$t-`$Hi. `$ x`K`$. `$ _+{(߬ɥp@`$,y$ܫ@p$d~(߼Ŧx@$(߬غp@$(߾ݶP@$ (ߺȺ@$D(߭٠ @$|-$0_. $ x`K$. $ _+4隫İю0@$ -$0_. $ x`K$HX. $ _+hx 4隫İюHP@$-$x_. $ x`K$. $ _+,߾ھ(@$< -%Hi. % x`K%p. % _+(ޭȤ@%$ݪH@%(޽ħ@ %<(ޭٻ@0%t(޿ܷ@@@%(޻ɻ(8@P%(ެء@`%-p%0_. p% x`Kp%P`. p% _+p 0險H@p%-%0_. % x`K%. % _+0險(@%< -%x_. % x`K%p. % _+@(h@%-%Hi. % x`K%. % _+ 0 $@%\@ @ +%$@%$HР@%$ꁁ@%<$@%t$H@&-&0_. & x`K&. & _+0隫@&< - &0_. & x`K &p. & _+@0隫h@ &-0&x_. 0& x`K0&. 0& _+ 0(@0&\@-@&Hi. @& x`K@&. @& _+$0@@& h@ +P&$$@`&\$@p&$뀀@&$H0@&$@&<-&0_. & x`K&p. & _+@0隨h@&-&0_. & x`K&. & _+ 00隨@&\@-&x_. & x`K&. & _+`(@&-&Hi. & x`K& 0. & _+@P@$@&|` ps@ +&$0@'$폏h@'$$胃@ '\$@0'$압h@@'-P'0_. P' x`KP'. P' _+ 0 0隩8g@P'\@ +-`'0_. `' x`K`'. `' _+`0隩@`'-p'x_. p' x`Kp' 0. p' _+@P(@p'|`-'Hi. ' x`K'. ' _+$P@'  @ +'D$@'| $쎎@'"$邂0@'$$h@'$&$픔@'\(-'0_. ' x`K'. ' _+,`0隮 j@'*-(0_. ( x`K( 0. ( _+@P10隮j@(|`/-(x_. ( x`K(. ( _+6(@( 4- (Hi. ( x`K (@P. ( _+`p;`$@ (9 @ +0(>$P@@( @$뉉@P(DB$@`(|D$@p(F$ꓓ@(H-(0_. ( x`K( 0. ( _+@PL0隯m@(|`J-(0_. ( x`K(. ( _+Q0隯h@( O-(x_. ( x`K(@P. ( _+`pV(8@(T-(Hi. ( x`K(. ( _+[$p@(,Y `@ +(d^$f@(`$ꈈ@(b$P(@) d$P@)Df$뒒Hh@ )|h-0)0_. 0) x`K0). 0) _+l0隬@0) j-@)0_. @) x`K@)@P. @) _+`pq0隬8@@)o-P)x_. P) x`KP). P) _+v(@P),t-`)Hi. `) x`K`)`p. `) _+{$@`)y 8@ +p)~$p@),$鋋@)d$쇇@)$n@)$葑@) -)0_. ) x`K)@P. ) _+`p0隭8@)-)0_. ) x`K). ) _+0隭@),-)x_. ) x`K)`p. ) _+0(Xh@)-*Hi. * x`K*. * _+  +$@*L0 x@ +*$ @ *$芊8 p@0*$톆p (@@*, $ @P*d $鐐8 +@`* -p*0_. p* x`Kp*  . p* _+  + +0隢 +@p*, + +-*0_. * x`K*` +p +. * _+ + +0 0隢X @* + +-*x_. * x`K* + . * _+   ( @*L 0 -*Hi. * x`K*  . * _+   +$ H@*   X @ +* $ @@*L $煅 @* $≉ +@* $8 +@* $柟 +@+, +-+0_. + x`K+` +p +. + _+ + +00隣X@+ + +- +0_. + x`K + +. + _+ 0隣@ +L0-0+x_. 0+ x`K0+. 0+ _+P(x@0+-@+Hi. @+ x`K@+ . @+ _+0@0$`@@+lP @ +P+$ @`+$愄X@p+$㈈H@+L$@+$瞞`@+-+0_. + x`K+. + _+ 4蛪šՊ@+L0-+0_. + x`K+. + _+`4蛪šՊ@+-+x_. + x`K+ 0. + _+@P,ۺ޺@+|`-+Hi. + x`K+. + _+(ک̠P@+ $􇶆ٮ0@+D(ڹ@,|(کݿ`@,(ڻس0@ ,(ڿͿh@0,$(ڨܥH@@,\-P,0_. P, x`KP,. P, _+ h4蛪Ġԋ@P, +-`,0_. `, x`K`,(8. `, _+HX4蛪Ġԋ(@`,h-p,x_. p, x`Kp,. p, _+,ڻ߻@p,-,Hi. , x`K,P`. , _+pp(ۨ͡H@,$􇶇د(@,(۸`d@, (ܾۨ@,T"(ۺٲ@,$(۾̾@,&(۩ݤ@,(-,0_. , x`K,0@. , _+P`,4蛪ǣ¶׈8@,p*--0_. - x`K-. - _+14蛪ǣ¶׈@-$/--x_. - x`K-`p. - _+60,ٸܸXx@-4- -Hi. - x`K -. - _+ ;(ث΢@ -L09$􇶄۬@0->(ػ¡@@-@(ث߽8@P-B(عڱpl@`-,D(ؽϽ8 @p-dF(تާ@Py@-H--0_. - x`K-. - _+L4蛪Ƣ÷։@-,J--0_. - x`K-hx. - _+Q@4蛪Ƣ÷։hh@-O--x_. - x`K-. - _+ 0V,عݹ@-\@T--Hi. - x`K-. - _+[(٪ϣ0@-Y$􇶅ڭh@-$^(ٺà@-\`(٪޼@-b(ٸ۰@.d(ټμH @.f(٫ߦH@ .<h-0.0_. 0. x`K0.p. 0. _+lH 4蛪İюx @0.j-@.0_. @. x`K@.  . @. _+( 8 q 4蛪İю!@@.d H o-P.x_. P. x`KP.  . P. _+  vp!,߾ھ!b@P.  t-`.Hi. `. x`K`.0!@!. `. _+P!`!{P#(ޭȤ!Ț@`.!p!y$􇶂ݪ"@p.!~(޽ħ@"@.!(ޭٻx"0@.4"(޿ܷ"@.l"(޻ɻ"X@."(ެء#@."-.0_. . x`K.# #. . _+0#@##4蛪űЏ$P@.l#P#-.0_. . x`K.##. . _+##$4蛪űЏ$@.$#-.x_. . x`K.@$P$. . _+`$p$%,޿ۿ8%@.$$-/Hi. / x`K/$$. / _+$%&(߬ɥp%@/,%%$􇶃ܫ%c@/d%(߼Ŧ%@ /%(߬غ&@0/%(߾ݶP&p@@/ &(ߺȺ&@P/D&(߭٠'@`/|&-p/0_. p/ x`Kp/&&. p/ _+&&'0ꙩ'@p/ '&-/0_. / x`K/@'P'. / _+`'p'(0ꙩ8(h@/''-/x_. / x`K/''. / _+'((((@/,((-/Hi. / x`K/`(p(. / _+((*$)@/(( 8)@ +/($p)@/,)$쎎)@/d)$邂)8@/)$*h@/)$픔*@0 *-00_. 0 x`K0@*P*. 0 _+`*p*+0Ꙩ8+X@0**- 00_. 0 x`K 0**. 0 _+*++0Ꙩ+@ 0,++-00x_. 00 x`K00`+p+. 00 _+++0,(X,h@00++-@0Hi. @0 x`K@0+,. @0 _+, ,.$,@@0L,0, ,@ +P0,$-@`0,$폏8-@p0,$胃p-@0,-$-@0d-$압8.@0--00_. 0 x`K0--. 0 _+-..0ꙫ.@0,..-00_. 0 x`K0`.p.. 0 _+..0/0ꙫX/@0..-0x_. 0 x`K0./. 0 _+/ //(/P@0L/0/-0Hi. 0 x`K0//. 0 _+//1$ 0@0// X0h@ +00$0@1L0$0@10$뀀1؆@ 10$81H@010$1@@1,1-P10_. P1 x`KP1`1p1. P1 _+11 020ꙪX2@P111 +-`10_. `1 x`K`112. `1 _+2 220Ꙫ2@`1L202-p1x_. p1 x`Kp122. p1 _+22P3(x3@p122-1Hi. 1 x`K13 3. 1 _+03@305$30@1l3P3 3@ +13$ 4~@13 $X4`@14"$ꁁ4ؗ@1L4$$4@14&$X5@14(-10_. 1 x`K145. 1 _+5 5,50ꙭ5@1L505*-20_. 2 x`K255. 2 _+551P60ꙭx6@255/-2x_. 2 x`K26 6. 2 _+06@666(7X @2l6P64- 2Hi. 2 x`K 266. 2 _+66;8$@7@ 2669 x7@ +0247>$7@@2l7@$芊7@P27B$톆 8@`27D$X8h@p28F$鐐8@2L8H-20_. 2 x`K288. 2 _+88LP90Ꙭx98 +@288J-20_. 2 x`K29 9. 2 _+09@9Q90Ꙭ:@2l9P9O-2x_. 2 x`K299. 2 _+99Vp:(:0@299T-2Hi. 2 x`K20:@:. 2 _+P:`:[P<$:@2:p:Y ;@ +2:^$@;@2:`$鋋x;@24;b$쇇;'@3l;d$;+@3;f$葑x<@ 3;h-030_. 03 x`K03< <. 03 _+0<@<l<0꙯=ئ@03l<P<j-@30_. @3 x`K@3<<. @3 _+<<qp=0꙯=h@@3<<o-P3x_. P3 x`KP30=@=. P3 _+P=`=v>((>@P3=p=t-`3Hi. `3 x`K`3==. `3 _+=={?$`>@`3>>y >@ +p3T>~$>@3>$ꈈ?k@3>$@?@3>$x?@34?$뒒@p@3l?-30_. 3 x`K3??. 3 _+??p@0ꙮ@@3??-30_. 3 x`K30@@@. 3 _+P@`@A0ꙮ(A@3@p@-3x_. 3 x`K3@@. 3 _+@@A(Ax@3AA-4Hi. 4 x`K4PA`A. 4 _+pAApC$AP@4AA (B@ +4A$`B@ 4B$뉉Bi@04TB$B@@4B$Cx@P4B$ꓓC@`4B-p40_. p4 x`Kp40C@C. p4 _+PC`CD0ꙡ(D!@p4CpC-40_. 4 x`K4CC. 4 _+CCD0ꙡD@4DD-4x_. 4 x`K4PD`D. 4 _+pDD E(HE0@4DD-4Hi. 4 x`K4DD. 4 _+EEG$E5@4 (􇶄۸`T@@7T@ (􇶄ܾۨT@P7TTB (􇶄ۺٲT(@`7TD (􇶄۾̾UI@p7TF (􇶄۩ݤU0@7TH -70_. 7 x`K70U@U. 7 _+PU`UL V4똩šՊ8V@7UpUJ -70_. 7 x`K7UU. 7 _+UUQ V4똩šՊV@7$VVO -7x_. 7 x`K7`VpV. 7 _+VVV 0W,ۺ޺XW@7VVT -7Hi. 7 x`K7VW. 7 _+W W[ Y(􇶅ک̠W@7LW0WY $ٮW@7W^ (􇶅ڹX@7W` (􇶅کݿ8XX2@7Wb (􇶅ڻسpX@8,Xd (􇶅ڿͿX@8dXf (􇶅ڨܥ@Y@ 8Xh -080_. 08 x`K08XX. 08 _+XYl Y4똩¦dzҍY@08,YYj -@80_. @8 x`K@8hYxY. @8 _+YYq @Z4똩¦dzҍhZ@@8YYo -P8x_. P8 x`KP8ZZ. P8 _+ Z0Zv Z,ܽٽZ@P8\Z@Zt -`8Hi. `8 x`K`8ZZ. `8 _+ZZ{ \(􇶂ݮ˧0[@`8ZZy $ީh[@p8$[~ (􇶂ݾǤ[@8\[ (􇶂ݮڸ[8@8[ (􇶂ݼߴ\H@8[ (􇶂ݸʸH\@8\ (􇶂ݯۢ\@8<\ -80_. 8 x`K8p\\. 8 _+\\ H]4똩çƲӌx]@8\\ -80_. 8 x`K8]]. 8 _+(]8] ]4똩çƲӌ^@8d]H] -8x_. 8 x`K8]]. 8 _+]] p^,ݼؼ^#@8]] -9Hi. 9 x`K90^@^. 9 _+P^`^ P`(􇶃ܯʦ^@9^p^ $ߨ_ @9^ (􇶃ܿƥ@_@ 9^ (􇶃ܯ۹x_@094_ (􇶃ܽ޵_@@9l_ (􇶃ܹ˹_@P9_ (􇶃ܮڣx`@`9_ -p90_. p9 x`Kp9` `. p9 _+0`@` `0똨a`v@p9l`P` -90_. 9 x`K9``. 9 _+`` pa0똨a@9`` -9x_. 9 x`K90a@a. 9 _+Pa`a b((b@9apa -9Hi. 9 x`K9aa. 9 _+aa c$`b@9bb  b@ +9Tb $bV@9b $폏c@9b $胃@c@9b $xc@94c $압d@:lc -:0_. : x`K:cc. : _+cc pd0똩d@:cc - :0_. : x`K :0d@d. : _+Pd`d e0똩(e@ :dpd -0:x_. 0: x`K0:dd. 0: _+dd e(e@0:ee -@:Hi. @: x`K@:Pe`e. @: _+pee pg$e@@:ee  (fHE@ +P:e $`f@`:f $쎎f@p:Tf $邂f@:f $g0@:f $픔g@:f -:0_. : x`K:0g@g. : _+Pg`g h0똪(he@:gpg -:0_. : x`K:gg. : _+gg h0똪h@:hh -:x_. : x`K:Ph`h. : _+phh i(Hi@Y@:hh -:Hi. : x`K:hh. : _+ii k$ip@: +$q@@<p@ +$鋋Hq@P<qB +$쇇q@@`<Hi. > x`K>zz. > _+zz +|$P{@> {z + {@ +>D{ +${@ >|{ +$ꈈ{8+@0>{ +$0|/@@>{ +$h| 0@P>$| +$뒒|`@`>\| +-p>0_. p> x`Kp>||. p> _+|| +`}0똠}t@p>|| +->0_. > x`K> }0}. > _+@}P} +}0똠~@>|}`} +->x_. > x`K>}}. > _+}} +~(~PP@> ~} +->Hi. > x`K>@~P~. > _+`~p~ +`$~@>~~ + (T@ +>~ +$P@>  +$凇(@>D +$x3@>| +$@> +$䝝@? +-?0_. ? x`K? 0. ? _+@P +0똡Y@?|` +- ?0_. ? x`K ?. ? _+Ѐ +0똡@ ?  +-0?x_. 0? x`K0?@P. 0? _+`p +(8@0? +-@?Hi. @? x`K@?Ё. @? _+ +$p@@?, + pt@ +P?d +$@`? +$䆆@7@p?Ԃ +$ኊP7@?  +$8.@?D +$圜 @?| +-?0_. ? x`K?. ? _+Ѓ +4Ꙩǣ¶׈@?  +-?0_. ? x`K?HX. ? _+hx + 4Ꙩǣ¶׈H@? +-?x_. ? x`K?. ? _+ +,􇶆ٸܸ؅@?<  +-?Hi. ? x`K?p. ? _+ +(ث΢@?̅ +$۬H0Q@? +(ػ¡`@@< (ث߽@@t (عڱ@ @ (ؽϽ(8)@0@ (تާ@@@ -P@0_. P@ x`KP@P`. P@ _+p (4ꙨƢ÷։X@P@ + -`@0_. `@ x`K`@. `@ _+ 4ꙨƢ÷։q@`@D( -p@x_. p@ x`Kp@. p@ _+ P,􇶇عݹx@p@܈ -@Hi. @ x`K@ . @ _+0@ 0(٪ϣ@@lP $ڭ(@@ (ٺà @@܉ (٪޼XH@@" (ٸ۰@@L$ (ټμȊ,@@& (٫ߦ`@@( -@0_. @ x`K@. @ _+ , ȋ4ꙨšՊH@@L0* -A0_. A x`KA. A _+1 `4ꙨšՊ@Aȋ/ -Ax_. A x`KA 0. A _+@P6 ,􇶄ۺ޺X@A|`4 - AHi. A x`K A. A _+Ќ; Ў(ک̠P@ A 9 $ٮx @0AD> (ڹ@@A|@ (کݿo@PAB (ڻس0p@`AD (ڿͿhX0@pA$F (ڨܥ@A\H -A0_. A x`KA. A _+L h4ꙨĠԋP@AЎJ -A0_. A x`KA(8. A _+HXQ 4ꙨĠԋ(@AhO -Ax_. A x`KAЏ. A _+V ,􇶅ڻ߻`w@AT -AHi. A x`KAP`. A _+p[ p(ۨ͡@AY $د(@A^ (۸`@A` (ܾۨ=@ATb (ۺٲБ@Bd (۾̾3@Bđf (۩ݤ@ Bh -0B0_. 0B x`K0B0@. 0B _+P`l 4ꙨçƲӌ8)@0Bpj -@B0_. @B x`K@BȒؒ. @B _+q 4ꙨçƲӌȓ@@B$o -PBx_. PB x`KPB`p. PB _+v 0,􇶂ݼؼX@PBt -`BHi. `B x`K`B. `B _+ { (ܯʦ@`BL0y $ߨȔ@pB~ (ܿƥ@B (ܯ۹8)@B (ܽ޵p@B, (ܹ˹x7@Bd (ܮڣ@@B -B0_. B x`KBЕ. B _+ 4Ꙩ¦dzҍؖp@B, -B0_. B x`KBhx. B _+ @4Ꙩ¦dzҍh}@BĖ -Bx_. B x`KB. B _+ 0 З,􇶃ܽٽd@B\@ -CHi. C x`KC. C _+ (ݮ˧0b@CЗ $ީh@C$ (ݾǤP@ C\ (ݮڸؘ@0C (ݼߴ@@@C̘ (ݸʸH;@PC (ݯؙۢn@`C< -pC0_. pC x`KpCp. pC _+ @0쟯h@pC̙ -C0_. C x`KC. C _+ 0 К0쟯@C\@ -Cx_. C x`KC. C _+ `((f@CК -CHi. C x`KC 0. C _+@P @$@C|`  a@ +C $0@C $ꈈh@C$ $[@C\ $؜7@C $뒒h@D̜ -D0_. D x`KD. D _+ 0 Н0쟮8X@D\@ - D0_. D x`K D. D _+ `0쟮u@ DН -0Dx_. 0D x`K0D 0. 0D _+@P ( +@0D|` -@DHi. @D x`K@D. @D _+О Р$P@@D   j@ +PDD $@`D| $뉉h@pD $0x_@D $hx;@D$ $ꓓ@D\ -D0_. D x`KD. D _+ `0쟭@DР -D0_. D x`KD 0. D _+@P 0쟭S@D|` -Dx_. D x`KD. D _+С (\@D  -DHi. D x`KD@P. D _+`p `$@D  @ +DԢ $P@E  $芊@ED $톆h@ E| $?@0E $鐐x@@E -PE0_. PE x`KPE 0. PE _+@P 0쟬@PE|` + -`E0_. `E x`K`E. `E _+Ф 0쟬@`E  -pEx_. pE x`KpE@P. pE _+`p (8@pE -EHi. E x`KEХ. E _+ $p@E,  r@ +Ed $XK@E $鋋ȓ@EԦ" $쇇Pp@E $ $B@ED& $葑@E|( -E0_. E x`KE. E _+Ч, 0쟫z@E * -F0_. F x`KF@P. F _+`p1 0쟫8@F/ -Fx_. F x`KFШ. F _+6 (ȩI@F,4 - FHi. F x`K F`p. F _+; $@ F9  8O@ +0F> $p@@F,@ $@PFdB $뀀@`FD $(F@pFԪF $@F H -F0_. F x`KF@P. F _+`pL 0쟪8@FJ -F0_. F x`KFЫ. F _+Q 0쟪Ȭ@F,O -Fx_. F x`KF`p. F _+V 0(Xx@FT -FHi. F x`KF. F _+ [ $@FL0Y  ȭ@ +F^ $h@F` $80@Fb $ꁁp(b@G,d $I@Gdf $8@ Gh -0G0_. 0G x`K0GЮ. 0G _+l 0쟩ȯHm@0G,j -@G0_. @G x`K@G`p. @G _+q 00쟩Xl@@Go -PGx_. PG x`KPG. PG _+ v (@PGL0t -`GHi. `G x`K`G. `G _+{ $ @`Gܰy  Xx9@ +pG~ $g@GL $쎎ȱHH@G $邂e@G $88@G $픔Ȳ0[@G, -G0_. G x`KG`p. G _+ 00쟨X4@G -G0_. G x`KG. G _+  0쟨h@GL0 -Gx_. G x`KG. G _+ P(x@Gܳ -HHi. H x`KH . H _+0@ 0$@HlP  f@ +H $ x@ Hܴ $폏X@0H $胃Hi@@HL $ȵ@PH $압X@`H -pH0_. pH x`KpH. pH _+  0쟧 @pHL0 -H0_. H x`KH. H _+ P0쟧x@Hܶ -Hx_. H x`KH . H _+0@ (@HlP -HHi. H x`KH. H _+з $@v@H  x@ +H4 $@Hl $ @H $猌 ȯ@Hܸ $XX@H $㚚@IL -I0_. I x`KI. I _+ P0쟦x@@Iܹ - I0_. I x`K I . I _+0@ 0쟦@ IlP -0Ix_. 0I x`K0I. 0I _+к p(@0I -@IHi. @I x`K@I0@. @I _+P` P$л@@Ip  m@ +PIĻ $@@`I $ぁxk@pI4 $捍hp@Il $ @I $⛛@Iܼ -I0_. I x`KI . I _+0@ 4힯űЏp@IlP -I0_. I x`KI. I _+Ƚؽ 4힯űЏȭ@I -Ix_. I x`KI@P. I _+`p ,󀱁޿ۿ8w@I -IHi. I x`KIо. I _+ (򁰀߬ɥp@I, $񂳃ܫP@Id (򁰀߼ŦHI@J +(򁰀߬غ8@JԿ +(򁰀߾ݶP@ J  +(򁰀ߺȺi@0JD +(򁰀߭٠ @@J| +-PJ0_. PJ x`KPJ. PJ _+ +4힯İю@PJ  + +-`J0_. `J x`K`JHX. `J _+hx + 4힯İюHHu@`J +-pJx_. pJ x`KpJ. pJ _+ +,󀱀߾ھ؜@pJ<  +-JHi. J x`KJp. J _+ +(򁰁ޭȤu@J +$񂳂ݪH@J +(򁰁޽ħ0@J< +(򁰁ޭٻ@Jt" +(򁰁޿ܷ@J$ +(򁰁޻ɻ(H@J& +(򁰁ެء@J( +-J0_. J x`KJP`. J _+p, +(4힯¦dzҍXP@J* +-K0_. K x`KK. K _+1 +4힯¦dzҍ@KD(/ +-Kx_. K x`KK. K _+6 +P,󀱃ܽٽx@K4 +- KHi. K x`K K . K _+0@; +0(򁰂ݮ˧@ KlP9 +$񂳁ީX@0K> +(򁰂ݾǤ @@K@ +(򁰂ݮڸXx6@PKB +(򁰂ݼߴ`@`KLD +(򁰂ݸʸ@pKF +(򁰂ݯۢ`A@KH +-K0_. K x`KK. K _+ L +4힯çƲӌ@KL0J +-K0_. K x`KK. K _+Q +`4힯çƲӌXM@KO +-Kx_. K x`KK 0. K _+@PV +,󀱂ݼؼP@K|`T +-KHi. K x`KK. K _+[ +(򁰃ܯʦP@K Y +$񂳀ߨ(@KD^ +(򁰃ܿƥx`@K|` +(򁰃ܯ۹G@Kb +(򁰃ܽ޵0@Ld +(򁰃ܹ˹hh@L$f +(򁰃ܮڣ@ L\h +-0L0_. 0L x`K0L. 0L _+l +h4힯Ġԋp-@0Lj +-@L0_. @L x`K@L(8. @L _+HXq +4힯Ġԋ(@@Lho +-PLx_. PL x`KPL. PL _+v +,󀱅ڻ߻@PLt +-`LHi. `L x`K`LP`. `L _+p{ +p(򁰄ۨ͡@`Ly +$񂳇د(@pL~ +(򁰄۸`xz@L +(򁰄ܾۨ@LT +(򁰄ۺٲ@L +(򁰄۾̾h@L +(򁰄۩ݤ@L +-L0_. L x`KL0@. L _+P` +4힯šՊ8 @Lp +-L0_. L x`KL. L _+ +4힯šՊ@L$ +-Lx_. L x`KL`p. L _+ +0,󀱄ۺ޺X@L +-MHi. M x`KM. M _+  +(򁰅ک̠@ML0 +$񂳆ٮ@M +(򁰅ڹ`@ M +(򁰅کݿ8@0M +(򁰅ڻسp@@M, +(򁰅ڿͿ@PMd +(򁰅ڨܥ8p)@`M +-pM0_. pM x`KpM. pM _+ +0힮@pM, +-M0_. M x`KM`p. M _+ +00힮X(@M +-Mx_. M x`KM. M _+  +([@ML0 +-MHi. M x`KM. M _+ +$ H@M + X@ +M +$@ML +$뉉h@M +$@M +$8Hq@M +$ꓓ@"@N, +-N0_. N x`KN`p. N _+ +00힯X@N +- N0_. N x`K N. N _+  +0힯@ NL0 +-0Nx_. 0N x`K0N. 0N _+ +P(x@0N +-@NHi. @N x`K@N . @N _+0@ +0$@@NlP + @ +PN +$ 8@`N +$ꈈX@pN +$@NL +$t@N +$뒒X%@N +-N0_. N x`KN. N _+  +0힬x@NL0 +-N0_. N x`KN. N _+ +P0힬x@N +-Nx_. N x`KN . N _+0@ +(p@NlP +-NHi. N x`KN. N _+ +$@@N + x@ +N4 +$@Ol$鋋 k@O$쇇 @ O$Xhx@0O$葑@@OL-PO0_. PO x`KPO. PO _+ P0힭x@PO +-`O0_. `O x`K`O . `O _+0@0힭@`OlP-pOx_. pO x`KpO. pO _+p(@pO-OHi. O x`KO0@. O _+P`P$(@Op @ +O$@x@O $芊x@O4"$톆 @Ol$${@O&$鐐xX@O(-O0_. O x`KO . O _+0@,0힪X@OlP*-P0_. P x`KP. P _+1p0힪p@P/-Px_. P x`KP0@. P _+P`6((A@Pp4- PHi. P x`K P. P _+;$`@ P9 (S@ +0PT>$@@P@$@PPB$ꁁ@@`PD$x@pP4F$ @PlH-P0_. P x`KP. P _+Lp0힫@PJ-P0_. P x`KP0@. P _+P`Q0힫(л@PpO-Px_. P x`KP. P _+V(g@PT-PHi. P x`KPP`. P _+p[p$@PY (@ +P^$`@P`$(h@PTb$뀀`@Qd$@Qf$@ Qh-0Q0_. 0Q x`K0Q0@. 0Q _+P`l0힨(x@0Qpj-@Q0_. @Q x`K@Q. @Q _+q0힨(@@Qo-PQx_. PQ x`KPQP`. PQ _+pv (H8@PQt-`QHi. `Q x`K`Q. `Q _+{$@`Q< y @ +pQt~$X@Q$폏(ȳ@Q$胃`@Q$:@QT$압(@Q-Q0_. Q x`KQ. Q _+0힩@Q-Q0_. Q x`KQP`. Q _+p 0힩HP@Q-Qx_. Q x`KQ. Q _+((@Q< -RHi. R x`KRp. R _+$@@R H@ +R$h@ R<$쎎8@0Rt$邂@@R$((>@PR$픔 +@`R-pR0_. pR x`KpRP`. pR _+p 0힦H@pR-R0_. R x`KR. R _+0힦8@R< -Rx_. R x`KRp. R _+@(h@R-RHi. R x`KR. R _+ 0 $@R\@ `@ +R$@R$ぁH@R$捍8@R<$@Rt$⛛H=@S-S0_. S x`KS. S _+0힧(J@S< - S0_. S x`K Sp. S _+@0힧h@ S-0Sx_. 0S x`K0S. 0S _+ 0(1@0S\@-@SHi. @S x`K@S. @S _+$0@@S h@ +PS$$@`S\$ r@pS$猌ȩ@S$He@S$㚚@S<-S0_. S x`KSp. S _+H4쟮İюx@S-S0_. S x`KS. S _+(84쟮İюX@SdH-Sx_. S x`KS. S _+p,򁰀߾ھ@S-SHi. S x`KS0@. S _+P`P(󀱁ޭȤ@Sp$ݪ@S(󀱁޽ħ@@T(󀱁ޭٻx`@T4(󀱁޿ܷ0@ Tl(󀱁޻ɻ@0T(󀱁ެء(@@T-PT0_. PT x`KPT . PT _+0@ 4쟮űЏ@PTlP +-`T0_. `T x`K`T. `T _+4쟮űЏx@`T-pTx_. pT x`KpT@P. pT _+`p,򁰁޿ۿ8@pT-THi. T x`KT. T _+(󀱀߬ɥph@T,$ܫH@Td(󀱀߼Ŧh@T (󀱀߬غ@T"(󀱀߾ݶP@T $(󀱀ߺȺ@TD&(󀱀߭٠ 3@T|(-T0_. T x`KT. T _+,4쟮çƲӌ@T *-U0_. U x`KUHX. U _+hx1 4쟮çƲӌH@U/-Ux_. U x`KU. U _+6,򁰂ݼؼ@U< 4- UHi. U x`K Up. U _+;(󀱃ܯʦ@ U9$ߨH +@0U>(󀱃ܿƥ@@U<@(󀱃ܯ۹@PUtB(󀱃ܽ޵P@`UD(󀱃ܹ˹(`@pUF(󀱃ܮڣh@UH-U0_. U x`KUP`. U _+pL(4쟮¦dzҍX@UJ-U0_. U x`KU. U _+Q4쟮¦dzҍP@UD(O-Ux_. U x`KU. U _+VP,򁰃ܽٽx@UT-UHi. U x`KU . U _+0@[0(󀱂ݮ˧@UlPY$ީx@U^(󀱂ݾǤ d@U`(󀱂ݮڸX@Ub(󀱂ݼߴ@VLd(󀱂ݸʸJ@Vf(󀱂ݯۢ`"@ Vh-0V0_. 0V x`K0V. 0V _+ l4쟮šՊ1@0VL0j-@V0_. @V x`K@V. @V _+q`4쟮šՊX@@Vo-PVx_. PV x`KPV 0. PV _+@Pv,򁰄ۺ޺@PV|`t-`VHi. `V x`K`V. `V _+{(󀱅ک̠P`@`V y$ٮ@pVD~(󀱅ڹH@V|(󀱅کݿH@V(󀱅ڻس0p@V(󀱅ڿͿh @V$(󀱅ڨܥ %@V\-V0_. V x`KV. V _+h 4쟮Ġԋ L@V-V0_. V x`KV( 8 . V _+H X  +4쟮Ġԋ( +@V h -Vx_. V x`KV  . V _+   +,򁰅ڻ߻ +{@V + +-WHi. W x`KWP +` +. W _+p + +p (󀱄ۨ͡ +4@W + +$د( `T@W +(󀱄۸` "@ W (󀱄ܾۨ @0WT (󀱄ۺٲ @@W (󀱄۾̾ @PW (󀱄۩ݤ @`W -pW0_. pW x`KpW0 @ . pW _+P `  +0( +Z@pW p -W0_. W x`KW  . W _+   +0 +0@W + +-Wx_. W x`KWP +` +. W _+p + + (H8@W + +-WHi. W x`KW + +. W _+$@W<   +@ +Wt$X@W$芊(@W$톆`@W$@WT$鐐(@X-X0_. X x`KX. X _+0X@X- X0_. X x`K XP`. X _+p 0H@ X-0Xx_. 0X x`K0X. 0X _+(@0X< -@XHi. @X x`K@Xp. @X _+$R@@X H@ +PX$@_@`X<$鋋@pXt$쇇>@X$(8@X$葑@X-X0_. X x`KXP`. X _+p 0H8@X-X0_. X x`KX. X _+0a@X< -Xx_. X x`KXp. X _+@(h@X-XHi. X x`KX. X _+ 0 $`@X\@ @ +X$(A@Y$ꈈH(@Y$(B@ Y<$ȱ@0Yt$뒒H(@@Y-PY0_. PY x`KPY. PY _+ 0@PY<  +-`Y0_. `Y x`K`Yp. `Y _+@0h@`Y-pYx_. pY x`KpY. pY _+ 0(@pY\@-YHi. Y x`KY. Y _+$0@Y hX@ +Y$$@Y\ $뉉@Y"$E@Y$$HX@Y&$ꓓȔ@Y<(-Y0_. Y x`KYp. Y _+,@0h@Y*-Z0_. Z x`KZ. Z _+ 0100t@Z\@/-Zx_. Z x`KZ. Z _+6`(@Z4- ZHi. Z x`K Z 0. Z _+@P;@$ @ Z|`9 b@ +0Z>$0@@Z@$쎎h @PZ$B$邂@`Z\D$@pZF$픔hh@ZH-Z0_. Z x`KZ. Z _+ 0L0 @Z\@J-Z0_. Z x`KZ. Z _+Q`08(@ZO-Zx_. Z x`KZ 0. Z _+@PV(  @Z|`T-ZHi. Z x`KZ. Z _+[!$P n@Z Y  @ +ZD ^$ @Z| `$폏 @Z b$胃0!@@[ d$h!x@[$!f$압!@ [\!h-0[0_. 0[ x`K0[!!. 0[ _+!!l`"0"@0[!!j-@[0_. @[ x`K@[ "0". @[ _+@"P"q"0#p@@[|"`"o-P[x_. P[ x`KP["". P[ _+""v#(#(@P[ #"t-`[Hi. `[ x`K`[@#P#. `[ _+`#p#{`%$#hZ@`[##y $@ +p[#~$P$`@[ $$$`@[D$$뀀$x@[|$$$s@[$$%@[$-[0_. [ x`K[ %0%. [ _+@%P%%0&@[|%`%-[0_. [ x`K[%%. [ _+%%&0&@[ &%-[x_. [ x`K[@&P&. [ _+`&p&'(8'@[&&-\Hi. \ x`K\&&. \ _+&'($p'@\,'' '@ +\d'$'8 +@ \'$(@0\'$ꁁP(@@\ ($(@P\D($)E@`\|(-p\0_. p\ x`Kp\((. p\ _+(()0)@p\ )(-\0_. \ x`K\@)P). \ _+`)p)*08*-@\))-\x_. \ x`K\)). \ _+)**(*@\,**-\Hi. \ x`K\`*p*. \ _+**,$+`@\** 8+X@ +\*$p+8@\,+$+@\d+$厎+@\+$,( +@\+$ᘘ,@] ,-]0_. ] x`K]@,P,. ] _+`,p,-08-h@],,- ]0_. ] x`K ],,. ] _+,--0-@ ],---0]x_. 0] x`K0]`-p-. 0] _+--0.(X.C@0]---@]Hi. @] x`K@]-.. @] _+. .0$.@@]L.0. .@ +P].$/@`].$Ⴣ8/@p].$䏏p/(@],/$/~@]d/$@0@]/-]0_. ] x`K]//. ] _+/004¦dzҍ0@],00-]0_. ] x`K]h0x0. ] _+00@14¦dzҍh1@]00-]x_. ] x`K]11. ] _+ 1011,񂳃ܽٽ1@]\1@1-]Hi. ] x`K]11. ] _+113(ݮ˧02^@]11$󀱁ީh2@]$2(ݾǤ2@^\2(ݮڸ2@^2(ݼߴ3{@ ^2(ݸʸH3@0^3(ݯۢ3@@^<3-P^0_. P^ x`KP^p33. P^ _+33 H44çƲӌx4@@P^33 +-`^0_. `^ x`K`^44. `^ _+(48444çƲӌ5h|@`^d4H4-p^x_. p^ x`Kp^44. p^ _+44p5,񂳂ݼؼ5@p^44-^Hi. ^ x`K^05@5. ^ _+P5`5P7(ܯʦ5@^5p5$󀱀ߨ6^@^5(ܿƥ@6M@^5 (ܯ۹x6@^46"(ܽ޵6"@^l6$(ܹ˹6 @^6&(ܮڣ7~@^6(-^0_. ^ x`K^7 7. ^ _+07@7,74űЏ8 @^l7P7*-_0_. _ x`K_77. _ _+77184űЏ88@_87/-_x_. _ x`K_@8P8. _ _+`8p869,񂳁޿ۿ89`>@_884- _Hi. _ x`K _88. _ _+89;:(߬ɥp9@@ _,999$󀱃ܫ9`F@0_d9>(߼Ŧ9hQ@@_9@(߬غ:x4@P_9B(߾ݶP:@`_ :D(ߺȺ:W@p_D:F(߭٠ ;W@_|:H-_0_. _ x`K_::. _ _+::L;4İю;@_ ;:J-_0_. _ x`K_H;X;. _ _+h;x;Q <4İюH<T@_;;O-_x_. _ x`K_;;. _ _+<<V<,񂳀߾ھ<w@_<< <T-_Hi. _ x`K_p<<. _ _+<<[>(ޭȤ=@_<<Y$󀱂ݪH=@_=^(޽ħ=U@_<=`(ޭٻ=@_t=b(޿ܷ=@`=d(޻ɻ(>`N@`=f(ެء>h[@ `>h-0`0_. 0` x`K0`P>`>. 0` _+p>>l(?4Ƣ÷։X? +@0`>>j-@`0_. @` x`K@`>>. @` _+??q?4Ƣ÷։?@@`D?(?o-P`x_. P` x`KP`??. P` _+??vP@,񂳇عݹx@ @P`??t-``Hi. `` x`K``@ @. `` _+0@@@{0B(٪ϣ@ @``l@P@y$󀱅ڭ@pX@p`@~(ٺà AX@`@(٪޼XA@`A(ٸ۰A@`LA(ټμA@`A(٫ߦ`B_@`A-`0_. ` x`K`AB. ` _+B BB4ǣ¶׈B @`LB0B-`0_. ` x`K`BB. ` _+BB`C4ǣ¶׈CX@`BB-`x_. ` x`K` C0C. ` _+@CPCC,񂳆ٸܸD@`|C`C-aHi. a x`KaCC. a _+CCE(ث΢PD`B@a DC$󀱄۬D@aDD(ػ¡DH\@ a|D(ث߽D@0aD(عڱ0E@@aD(ؽϽhE @Pa$E(تާE( +@`a\E-pa0_. pa x`KpaEE. pa _+EE`F0F@paEE-a0_. a x`Ka F0F. a _+@FPFF0GHl@a|F`F-ax_. a x`KaFF. a _+FFG(G@a GF-aHi. a x`Ka@GPG. a _+`GpG`I$GS@aGG H@ +aG$PHH3@a H$鋋H0@aDH$쇇Hht@a|H$H@aH$葑I1@bH-b0_. b x`Kb I0I. b _+@IPII0J@b|I`I- b0_. b x`K bII. b _+IIJ0J@ b JI-0bx_. 0b x`K0b@JPJ. 0b _+`JpJK(8K@0bJJ-@bHi. @b x`K@bJJ. @b _+JKL$pKH@@b,KK K@ +PbdK$K6@`bK$芊L@pbK$톆PLw@b L$LG@bDL$鐐M5@b|L-b0_. b x`KbLL. b _+LLM0M@b ML-b0_. b x`Kb@MPM. b _+`MpMN08NM@bMM-bx_. b x`KbMM. b _+MNN(N@b,NN-bHi. b x`Kb`NpN. b _+NNP$O@bNN 8O@ +bN$pO:@c,O$뉉Op@cdO$O{@ cO$P(@0cO$ꓓP89@@c P-Pc0_. Pc x`KPc@PPP. Pc _+`PpP Q08Q(>@PcPP +-`c0_. `c x`K`cPP. `c _+PQQ0Q@`c,QQ-pcx_. pc x`Kpc`QpQ. pc _+QQ0R(XR@pcQQ-cHi. c x`KcQR. c _+R RT$R8Q@cLR0R Rx@ +cR$SP@cR $ꈈ8S@cR"$pS@c,S$$S@cdS&$뒒8T<@cS(-c0_. c x`KcSS. c _+ST,T0T@c,TT*-d0_. d x`Kd`TpT. d _+TT10U0XUP@dTT/-dx_. d x`KdTU. d _+U U6U(Up@dLU0U4- dHi. d x`K dUU. d _+UU;W$ V@ dUU9 XV#@ +0dV>$VA@@dLV@$폏V @PdVB$胃W@`dVD$8WH@pdVF$압Wx@@d,WH-d0_. d x`Kd`WpW. d _+WWL0X0XX@dWWJ-d0_. d x`KdWX. d _+X XQX0X@dLX0XO-dx_. d x`KdXX. d _+XXVPY(xY@dXXT-dHi. d x`KdY Y. d _+0Y@Y[0[$Yq@dlYPYY Y@ +dY^$ ZhE@dY`$쎎XZ8@dZb$邂ZH@eLZd$ZP @eZf$픔X[D@ eZh-0e0_. 0e x`K0eZ[. 0e _+[ [l[0[@0eL[0[j-@e0_. @e x`K@e[[. @e _+[[qP\0x\@@e[[o-Pex_. Pe x`KPe\ \. Pe _+0\@\v\(]c@Pel\P\t-`eHi. `e x`K`e\\. `e _+\\{^$@]@`e\\y x]z@ +pe4]~$]@el]$]@e]$ꁁ ^@e]$X^X@e^$^P@eL^-e0_. e x`Ke^^. e _+^^P_0x_@@e^^-e0_. e x`Ke_ _. e _+0_@__0`h@el_P_-ex_. e x`Ke__. e _+__p`(`@e__-fHi. f x`Kf0`@`. f _+P```Pb$`@f`p` ap@ +f`$@aP@ f`$xap'@0f4a$뀀ah@@fla$a@Pfa$xb(e@`fa-pf0_. pf x`Kpfb b. pf _+0b@bb0cH@pflbPb-f0_. f x`Kfbb. f _+bbpc0c(@fbb-fx_. f x`Kf0c@c. f _+Pc`cd((d2@fcpc-fHi. f x`Kfcc. f _+cce$`dP@fdd dp/@ +fTd$d@fd$ჃeH@fd$䏏@e@fd$xex@f4e$f0_@gle-g0_. g x`Kgee. g _+eepf0f+@gee- g0_. g x`K g0f@f. g _+Pf`fg0(g@ gfpf-0gx_. 0g x`K0gff. 0g _+ffg(g(G@0ggg-@gHi. @g x`K@gPg`g. @g _+pggpi$g@@ggg (h*@ +Pgg$`hp @`gh$h@pgTh$厎h@gh$i@gh$ᘘi@gh-g0_. g x`Kg0i@i. g _+Pi`ij4çƲӌ8jPD@gipi-g0_. g x`Kgii. g _+iij4çƲӌjx@g$jj-gx_. g x`Kg`jpj. g _+jj0k,ݼؼXk@gjj-gHi. g x`Kgjk. g _+k km(񂳃ܯʦkXZ@gLk0k$򁰀ߨkp+@gk(񂳃ܿƥl@hk(񂳃ܯ۹8lD@hk(񂳃ܽ޵pl@ h,l(񂳃ܹ˹l@0hdl(񂳃ܮڣ@m@@hl-Ph0_. Ph x`KPhll. Ph _+lm m4¦dzҍmн@Ph,mm +-`h0_. `h x`K`hhmxm. `h _+mm@n4¦dzҍhn=@`hmm-phx_. ph x`Kphnn. ph _+ n0nn,ܽٽn@ph\n@n-hHi. h x`Khnn. h _+nnp(񂳂ݮ˧0o]@hnn$򁰁ީho@h$o(񂳂ݾǤo@h\o (񂳂ݮڸo@ho"(񂳂ݼߴp@ho$(񂳂ݸʸHp@hp&(񂳂ݯۢp(@h(񂳁޽ħ@sȊ@@ir@(񂳁ޭٻxsXU@Pi4sB(񂳁޿ܷsi@`ilsD(񂳁޻ɻs02@pisF(񂳁ެءt@isH-i0_. i x`Kit t. i _+0t@tLt4űЏu@iltPtJ-i0_. i x`Kitt. i _+ttQu4űЏuh@iutO-ix_. i x`Ki@uPu. i _+`upuVv,޿ۿ8v@iuuT-iHi. i x`Kiuu. i _+uv[w(񂳀߬ɥpve@i,vvY$򁰃ܫv(@idv^(񂳀߼Ŧvh@iv`(񂳀߬غw.@ivb(񂳀߾ݶPw8@j wd(񂳀ߺȺw@jDwf(񂳀߭٠ xxb@ j|wh-0j0_. 0j x`K0jww. 0j _+wwlx4ǣ¶׈x 8@0j xwj-@j0_. @j x`K@jHxXx. @j _+hxxxq y4ǣ¶׈Hy@@jxxo-Pjx_. Pj x`KPjxx. Pj _+yyvy,ٸܸy$@Pjp "X-`>p +"x->p +#->p +Ј@#-Ј?p +x#-@?p +#-x?p #-@p  $8-@p X$X- (Ap +0$x-0Ap @$-@Ap +P%-P(Bp +`8%-``Bp +pp%-pBp +%-Bp +%8-Cp &X-Cp P&x-(Dp &-Dp +Љ&-ЉHEp &-Ep +0'-Ep +h'-Ep +'8-(Fp + 'X- `Fp +0(x-0Fp @H(-@(Gp P(-PGp `(-`HHp +p(-pHp ()-Ip +`)8-HIp +)X-Ip +)x-Ip +*-Ip +Њ@*-Њ(Jp x*-Jp *-XKp *-Kp  +8-xLp + X+X- Lp 0+x-0Lp @+-@ Mp P,-PXMp `8,-`Mp pp,-pMp ,-`Np ,8-Np -X-Op P-x-Pp +--PPp Ћ--ЋPp --Pp 0.-Pp h.-0Qp .8-hQp .X- Rp 0/x-0Rp @H/-@(Sp P/-PSp +`/-`Sp p/-p(Tp (0-`Tp `08-Tp 0X-Tp 0x-Up 1-Up Ќ@1-Ќ8Vp x1-Vp 1-XWp +1-Wp  28-Wp X2X- Xp 02x-08Xp @2-@pXp P3-PXp `83-`@Yp pp3-pYp 3-hZp 38-Zp +4X-0[p P4x-h[p 4-[p Ѝ4-Ѝ[p 4-\p 05-H\p h5-\p 58-x]p 5X- ^p 06x-0^p +@H6-@^p P6-P_p `6-`@_p p6-px_p (7-_p `78-_-x_wxH-0_wh-Hiw @!XXpЎ-Ўxip0-ip h0-rp P-psp p-sp + - ptp 0H-0tp +@-@tp +P-Ptp +`-`up +p(0-p8up +`P-`up p-x`p -ap -ap +@-(bp Џx-Џ`bp +-bp +0-bp + P-cp +Xp-@cp + - xcp 0-0dp @-@dp P8-P(ep +`p-`ep p0-pep +P-(fp +p-`fp +P-fp +-fp +-gp А-Аgp 0-(hp h0-hp +P-Hip p-ip + - ip +0H-0ip +@-@(jp +P-P`jp +`-`jp p(0-p(kp `P-kp p-Hlp +-lp -mp +@-Hmp +Бx-Бmp +-mp +0-mp + P-(np Xp-np - Hop 0-0op +@-@hpp P8-Ppp +`p-`pp +p0-pqp +P-Hqp +p-qp +P-qp -Hrp -rp В-Вhsp +0 -sp h 0-0tp + P-htp + p-tp + !- tp +0H!-0up +@!-@Hup P!-Pup `!-`hvp p("0-pvp +`"P-wp "p-wp +"-wp +#-0xp +@#-hxp +Гx#-Гxp +#-xp #0-hyp $P-yp X$p-zp + $- {p 0$-0P{p +@%-@{p +P8%-P{p +`p%-`{p +p%0-p0|p +%P-h|p &p-|p P&-}p &-~p +&-~p Д&-Д~p +0'-p +h'0-Pp +'P-p +'p-p + (- p 0H(-0p @(-@p P(-Pp +`(-`8p p()0-ppp +`)P-p +)p-p +)-p +*-Pp +@*-p Еx*-Е p *-p *0-Hp +P-؅p +X+p-p +- Hp 0+-0p @,-@p P8,-Pp `p,-`(p p,0-pp ,P-Xp -p-p P--xp +--p --p Ж--Ж p 0.-Xp h.0-p .P-Ȋp .p-`p /- p 0H/-0p @/-@p +P/-PPp `/-`p p(00-pp `0P-p 0p-0p 0-hp 1-p @1-p Зx1-З(p 1-p +10-p 2P-(p X2p-`p 2- p 02-0Бp @3-@p P83-Pp `p3-`8p p30-pȓp 3P-Xp +4p-p P4-Ȕp 4-p 4-8p И4-Иpp 05-p h50-@p 5P-ؖp 5p-hp 6- p +0H6-00p @6-@hp P6-Pp `6-`ؘp p(70-pp `7P-H-x_w`-0_w-Hiw 8@!pp-xipЙ0(-Йip hH-up h-`vp -vp +-`wp H- wp +0-0wp +@-@wp +P(-Pxp +`(H-`(xp +p`h-pPxp -ؙp -hp -p +@-p x-p +К(-Кp +H-0p + h-hp +X-p +-؜p - hp 0-0p @8-@p +Pp(-Pp `H-`Pp +ph-pp +-p +P-p +-0p +-hp -p Л0(-Лp hH-p +h-p -p +-p + H- Pp +0-0p +@-@p +P(-Pp `(H-`p p`h-pp -p +-8p -pp +@-p +x-p +М(-Мp +H-Pp + h-p X-p -p - 8p +0-0ȩp @8-@p +Pp(-P8p +`H-`pp +ph-pp +-p +P-p -p -8p -Ȭp +Н0 (-НXp h H-p + h-ȭp + -p +!-8p + H!- pp +0!-0p @!-@8p P!(-Pȯp `("H-`Xp +p`"h-pp "- p +"-Xp +#-p +@#-ȱp +x#-p +О#(-О8p #H-Ȳp $h-Xp X$-p +$-xp $- p +0%-0p +@8%-@ p +Pp%(-PXp +`%H-`p +p%h-pȵp &-Xp P&-p &-xp +&-p &-@p +П0'(-Пxp +h'H-p +'h-p +'- p +(-Xp H(- p 0(-0xp @(-@p +P((-Pp `()H-`лp +p`)h-pp +)-@p +)-xp +*-p +@*-p x*-p Р*(-Рp *H-p +h-8p +X+-pp +-p +- p 0,-0p @8,-@Pp Pp,(-Pp `,H-` p p,h-pp --Hp P--p +--p --Hp --p С0.(-Сp h.H-p .h-(p .-p /-Xp H/- p 0/-0xp +@/-@p P/(-Pp `(0H-` p p`0h-pXp 0-p 0-p 1-`p @1-p x1-p Т1(-Тp +1H-Pp 2h-p X2-p 2-p 2- 0p 03-0hp @83-@p Pp3(-Pp `3H-`(p p3h-pp +4-p P4-(p 4-`p 4-p 4-p У05(-Уp h5H-p 5h-8p 5-p 6-Xp + H6- p 06-0p @6-@p P6(-P8p `(7H-`pp p`7h-p-x_wx-0_w-Hiw t@! +p -xip0@-ip Фh`-Фxp -Pyp -yp +-Pzp H-xzp + - zp +0 -0zp +@@-@zp +P(`-P{p +``-`@{p p-p8p -p -Xp +@-p x - p +@-Xp +Х`-Хp + -p +X-p +-8p -p - Xp 08 -0p +@p@-@xp P`-Pp +`-`p +p-p p +P-Xp +-p +-p  -Xp 0@-p Цh`-Цxp +-p -@p +-xp +H-p + - p +0 -0 p +@@-@Xp P(`-Pp ``-`xp p-pp +-p -p +@-p +x -@p +@-xp +Ч`-Чp + -p X-xp -p -p + - (p 08 -0`p +@p@-@p +P`-Pp +`-`p +p-p@p +P-xp -p -p  -(p +0 @-p Шh `-Шp + -(p + -`p +!-p +H!-p + !- p 0! -0p @!@-@(p P("`-Pp +``"-`Hp p"-pp +"-p +#-p +@#-(p +x# -`p +#@-p Щ#`-Щ(p $-p X$-Hp +$-p $-p + %- Hp +08% -0p +@p%@-@p +P%`-Pp +`%-`(p p&-pp P&-Hp &-p +&-hp & -p +0'@-p +Ъh'`-Ъp +'-Hp +'-p +(-p H(-Hp (- p 0( -0hp +@(@-@p P()`-P0p +``)-`hp +p)-pp +)-p +*-p +@*-Hp x* -p *@-xp Ы*`-Ыp +-p +X+-p +-p +-@p ,- xp 08, -0p @p,@-@p P,`-Pp `,-`p p--pp P--8p +--pp - -p - -p 0.@ -p Ьh.` -ЬPp . -p . - p / -p H/ -Hp / +- p +0/ +-0p @/@ +-@Hp P(0` +-Pp ``0 +-`p p0 +-pp 0 +-(p 1 +-p @1 -Xp x1 -p 1@ -xp +Э1` -Эp 2 -p X2 - p 2 -Xp 2 -p 3 - p 083 -0`p @p3@ -@p P3` -Pp `3 -`p +p4 -pPp P4 -p 4 -p 4 +-p 4 +-0p 05@ +-hp Юh5` +-Ю p 5 +- p 5 +-( +p 6 +- +p +H6 +- +p 6- ( p 06 -0` p @6@-@ p P(7`-P p ``7-` -x_w-0_w-Hiw %@!h p8-xip0X-ip hx-{p Я-Я@|p -|p +-@}p H-h}p +-}p + 8- }p +0X-0}p +@(x-@~p +P`-P0~p `-` p p-p( +p - +p +@-Hp x8-p +X-p +x-p +а -а(p +X-`p +-p -(p -p 88- Hp +0pX-0p @x-@p +P-PHp +`-`p +pP-pp +-p +-(p 8-p 0X-Hp hx-p +б-бhp -p +-p +H-p +-Hp + 8- p +0X-0p @(x-@Hp P`-Pp `-`hp +p-pp -0p +@-hp +x8-p +X-p +x-p +в -вHp X-p -hp -p +-p 88- p +0pX-0p +@x-@0p +P-Php +`-`p +pP-pp -hp -p 8-p +0 X- p h x-P p +г -г p + - p +!- p +H!-0!p +!-h!p !8- !p 0!X-0"p @("x-@#p +P`"-P#p `"-`#p +p"-p$p +#-P$p +@#-$p +x#8-$p +#X-$p #x-%p д $-д&p X$-&p +$-8'p $-p'p +%-'p + 8%8- 'p +0p%X-0(p +@%x-@P(p +P%-P(p `&-`)p pP&-p)p &-8*p +&-*p &8-+p +0'X-8+p +h'x-p+p +е'-е+p +'-+p +(-,p H(-,p (-8-p (8- -p +0(X-0X.p @()x-@.p +P`)-P.p +`)-`/p +p)-p8/p +*-p/p +@*-/p x*8-@0p *X-0p *x-h1p ж +-ж1p +X+-02p +-h2p +-2p ,-2p 8,8- 3p 0p,X-0H3p @,x-@3p P,-Px4p `--`5p pP--p5p +--5p --6p -8-@6p 0.X-x6p h.x-6p з.-з6p .-7p /-8p H/-8p / -89p + /8 - p9p 0/X -09p @(0x -@9p P`0 -P:p `0 -`P:p p0 -p:p 1 - ;p @1!-;p x18!-H<p 1X!-<p +1x!-=p и 2!-иH=p X2!-=p 2!-=p 2!-=p 3"-(>p 838"- >p 0p3X"-0X?p @3x"-@?p P3"-Px@p +`4"-`@p pP4"-p@p 4"- Ap 4#-XAp 48#-Ap 05X#-Ap h5x#-`Bp й5#-йBp 5#-Cp 6#-Dp +H6#-PDp 6$-Dp 68$- Dp 06X$-0Dp @(7x$-@0Ep P`7$-PhE-x_w$$-0_w$$-Hiw$ `;5@! %$pP%-xip0p%-ip h%-~p %-0p к%-кp +%-0p H&-Xp +0&-p +P&-p + p&- Ѐp +0(&-0p +@`&-@ p P&-PEp `&-`Fp p'-pGp +@0'-Gp xP'-Gp +p'-Hp +'-PHp + '-Hp +лX'-лHp +'-Hp (-Ip 0(-Jp 8P(-Jp + pp(- 8Kp 0(-0pKp +@(-@Kp +P(-PKp +`P(-`Lp +p)-pPLp +0)-Lp P)-Mp 0p)-Mp h)-8Np +)-Np м)-мOp +)-8Op +H*-pOp +0*-Op +P*-Op + p*- Pp 0(*-0Pp @`*-@8Qp P*-PQp +`*-`XRp p+-pRp +@0+-Rp +xP+-Sp +p+-8Sp ++-pSp + +-Sp нX+-н8Tp +-Tp ,-XUp +0,-Up 8P,- Vp + pp,- XVp +0,-0Vp +@,-@Vp +P,-PWp +`P,-`8Wp p--pWp 0--XXp P--Xp +0 p--xYp h --Yp + --Yp +о --о Zp +!--XZp +H!.-Zp +!0.-Zp !P.-X[p !p.- [p 0(".-0x\p +@`".-@]p P".-P@]p +`".-`x]p +p#/-p]p +@#0/-]p +x#P/- ^p +#p/-X^p #/-^p $/-x_p пX$/-п`p +$/-`p $0-`p +%00-ap +8%P0-@ap + p%p0- xap +0%0-0ap +@%0-@ap P&0-Pxbp `P&0-`cp p&1-pcp +&01-(dp &P1-`dp +0'p1-dp +h'1-dp +'1-ep +'1-@ep +(1-xep H(2-fp (02-fp (P2-(gp + (p2- gp 0()2-0gp +@`)2-@(hp +P)2-P`hp +`)2-`hp +p*3-php +@*03-ip x*P3-ip *p3-8jp *3-jp +3-Xkp +X+3-kp +3-kp +4-lp ,04-8lp 8,P4-plp p,p4- lp 0,4-0@mp @,4-@mp P-4-Phnp `P-4-`np +p-5-p0op -05-hop -P5-op 0.p5-op h.5-pp .5-Hpp .5-pp /5-xqp H/6-rp /06-rp +/P6-rp /p6- sp 0(06-0@sp @`06-@xsp P06-Psp `06-`sp p17-ptp @107-up x1P7-up 1p7-8vp +17-pvp 27-vp X27-vp 27-wp 28-Pwp 308-wp 83P8- xp p3p8- xp 038-0Hyp @38-@yp +P48-Pzp `P48-`Hzp p49-pzp 409-zp 4P9-zp 05p9-({p h59-{p 59-X|p 59-|p 69-x}p +H6:-}p 60:-}p 6P:- ~p 6p:- X~p 0(7:-0~p @`7:-@~Lŧ®Ϲ܃ꌌ-`w;:-x_w(;:-"0_wH;;-%Hiw8; HH( @)\;7:Șp;-xip0;-ip7;ϠΨ-Hp h<-p 0<- p 8`<(ܾ- p +0@8<$߽Ǩ-0 p @x8< ޼-@Hp +P8<$߽ī-Ppp +`8 =$߽ط-`p +p 9P=$߽Խ-pp +X9=$߽ر-p +9=$߽«-p 9=-p :=-Xp 8:>-xp +p:0>-p :P>-@p +:p>-xp +;>-p +P;>-p + ;>- p +0;>-0Xp @;?-@Hp P0<0?-Pp `h<P?-`p +p<p?-php <?-p +=?-p +H=?-p +=?-Hp +=@-p +=0@-p (>P@-'p `>p@-'p >@-8(p +>@-(p ?@- )p +0@?@-08)p +@x?A-@p)p +P?0A-P)p +`?PA-`)p +p @pA-p*p X@A-ap @A-x`p @A-ap +AA-(bp 8AB-`bp +pA0B-bp +APB-bp +ApB-cp +BB-@cp +PBB-xcp BB- hp 0BB-0ؙp @BC-@p +P0C0C-Pp `hCPC-`p +pCpC-pp +CC-0p +DC-hp +HDC-p +DC-؜p DD-p D0D-8p (EPD-Xp +`EpD-p ED- p +ED-Xp + FD- p +0@FD-0p +@xFE-@p +PF0E-P8p `FPE-`( +p p GpE-p p XGE- +p +GE-Hp GE-p +HE-p +8HF-p +pH0F-(p +HPF-`p +HpF-p IF-Fp PIF-Ep IF- Gp +0IF-0Gp @IG-@Gp +P0J0G-PHp +`hJPG-`PHp +pJpG-pHp +JG-Hp +KG-H-`awGG-x_wHG-"0_w0HH-%Hiw H TH@)DH7G<pH-xip0H-ip7H-p hH-p I-p 8(I- p +0@8HI-0p @x8hI-@8p +P8I-P`p +`8I-`p +p 9I-pp +X9I-؆p +9J-p 9(J-xp :HJ-p 8:hJ-p +p:J-p :J-йp +:J-p +;J-@p +P;K-xp + ;(K- p +0;HK-0p @;hK-@p P0<K-PHp `h<K-`hp +p<K-pp <K-0p +=L-hp +H=(L-p +=HL-p +=hL-p +=L-Hp (>L-8+p `>L-*p >L-+p +>M-X,p ?(M- ,p +0@?HM-0,p +@x?hM-@-p +P?M-P8-p +`?M-`p-p +p @M-p-p X@M-dp @N-dp @(N-(ep +AHN-ep 8AhN-ep +pAN-(fp +AN-`fp +AN-fp +BN-fp +PBO-gp B(O- p 0BHO-0hp @BhO-@p +P0CO-Pp `hCO-`Pp +pCO-pp +CO-p +DP-p +HD(P-0p +DHP-hp DhP-Xp DP-p (EP-p +`EP-xp EP-p +EQ-p + F(Q- p +0@FHQ-0Xp +@xFhQ-@p +PFQ-Pp `FQ-`p p GQ-p(p XGQ-Hp +GR-p G(R-p +HHR-Hp +8HhR-p +pHR-p +HR-p +HR-(p IR-Jp PIS-Ip I(S- Jp +0IHS-08Kp @IhS-@pKp +P0JS-PKp +`hJS-`Kp +pJS-pLp +JS-PLp +KT-L-awHTT-x_whT8T-"0_wTXT-%HiwxT `B@)T7(TpT-xip0U-ip7 U-(p h@U-p `U-p 8U- p +0@8U-0p @x8U-@(p +P8U-PPp +`8V-`xp +p 9 V-pp +X9@V-ȉp +9`V-p 9V-p :V-xp 8:V-p +p:V-(p :W-`p +: W-p +;@W-нp +P;`W-p + ;W- @p +0;W-0xp @;W-@hp P0<W-Pp `h<X-`p +p< X-pp <@X-p +=`X-p +H=X-0p +=X-hp +=X-p +=X-p (>Y-.p `> Y-8.p >@Y-X/p +>`Y-/p ?Y- 0p +0@?Y-0X0p +@x?Y-@0p +P?Y-P0p +`?Z-`1p +p @ Z-p81p X@@Z-(hp @`Z-gp @Z-hp +AZ-Hip 8AZ-ip +pAZ-ip +A[-ip +A [-(jp +B@[-`jp +PB`[-jp B[- p 0B[-0p @B[-@p +P0C[-Pp `hC\-`p +pC \-pp +C@\-Pp +D`\-p +HD\-p +D\-p D\-p D\-Xp (E]-xp +`E ]-p E@]-@p +E`]-xp + F]- p +0@F]-0p +@xF]-@ p +PF]-PXp `F^-`Hp p G ^-pp XG@^-p +G`^-hp G^-p +H^-p +8H^-p +pH^-Hp +H_-p +H _-p I@_-Mp PI`_-Mp I_- 8Np +0I_-0Np @I_-@Op +P0J_-P8Op +`hJ`-`pOp +pJ `-pOp +J@`-Op +K``-P-pbw`p`-x_w``-"0_w``-%Hiw` Pm!@)`7`$p8a-xip0Xa-ip7xa-p ha-pp a-p 8a- pp +0@8a-0p @x8b-@p +P88b-P@p +`8Xb-`hp +p 9xb-pp +X9b-p +9b-p 9b-p :b-p 8:c-(p +p:8c-p :Xc-p +:xc-(p +;c-`p +P;c-p + ;c- p +0;c-0p @;d-@p P0<8d-Php `h<Xd-`p +p<xd-pp <d-Pp +=d-p +H=d-p +=d-p +=e-0p +=8e-hp (>Xe-X2p `>xe-1p >e-2p +>e-x3p ?e- 3p +0@?e-03p +@x?f-@ 4p +P?8f-PX4p +`?Xf-`4p +p @xf-p4p X@f-kp @f-(kp @f-Hlp +Af-lp 8Ag-mp +pA8g-Hmp +AXg-mp +Axg-mp +Bg-mp +PBg-(np Bg- p 0Bg-0p @Bh-@p +P0C8h-P8p `hCXh-`pp +pCxh-pp +Ch-p +Dh-p +HDh-Pp +Dh-p Di-xp D8i-p (EXi-p +`Exi-p Ei-p +Ei-p + Fi- @p +0@Fi-0xp +@xFj-@p +PF8j-Pp `FXj-`p p Gxj-pHp XGj-hp +Gj-p Gj-0p +Hj-hp +8Hk-p +pH8k-p +HXk-p +Hxk-Hp Ik-8Qp PIk-Pp Ik- Qp +0Ik-0XRp @Il-@Rp +P0J8l-PRp +`hJXl-`Sp +pJxl-p8Sp +Jl-pSp +Kl-S-bwll-x_wml-"0_w8mm-%Hiw(m yq@)Lm7lpm-xip0m-ip7m-p hm-`p n-p 80n- `p +0@8Pn-0p @x8pn-@p +P8n-P0p +`8n-`Xp +p 9n-pp +X9n-p +9o-Џp 90o-(p :Po-p 8:po-p +p:o-Hp :o-p +:o-p +;o-p +P;p-(p + ;0p- `p +0;Pp-0p @;pp-@p P0<p-Pp `h<p-`p +p<p-pp <p-p +=q-p +H=0q-Pp +=Pq-p +=pq-p +=q-p (>q-5p `>q-X5p >q-x6p +>r-7p ?0r- @7p +0@?Pr-0x7p +@x?pr-@7p +P?r-P7p +`?r-` 8p +p @r-pX8p X@r-Hop @s-np @0s-op +APs-hpp 8Aps-pp +pAs-pp +As-qp +As-Hqp +Bs-qp +PBt-qp B0t- p 0BPt-0p @Bpt-@8p +P0Ct-Pȩp `hCt-`p +pCt-p8p +Ct-pp +Du-p +HD0u-p +DPu-p Dpu-p Du-xp (Eu-p +`Eu-(p Eu-`p +Ev-p + F0v- p +0@FPv-0p +@xFpv-@@p +PFv-Pxp `Fv-`hp p Gv-pp XGv-p +Gw-p G0w-p +HPw-p +8Hpw-0p +pHw-hp +Hw-p +Hw-p Iw-Tp PIx-8Tp I0x- XUp +0IPx-0Up @Ipx-@ Vp +P0Jx-PXVp +`hJx-`Vp +pJx-pVp +Jx-Wp +Ky-8W-cwPy y-x_wpy@y-"0_wy`y-%Hiwy o@)y70y py-xip0z-ip7(z-xp hHz-Pp hz-Аp 8z- Pp +0@8z-0Бp @x8z-@p +P8z-P p +`8{-`Hp +p 9({-ppp +X9H{-p +9h{-p 9{-p :{-(p 8:{-Hp +p:{-p :|-p +:(|-Hp +;H|-p +P;h|-p + ;|- p +0;|-0(p @;|-@p P0<|-Pp `h<}-`p +p<(}-p8p <H}-pp +=h}-p +H=}-p +=}-p +=}-Pp +=}-p (>~-x9p `>(~-8p >H~-:p +>h~-:p ?~- :p +0@?~-0;p +@x?~-@@;p +P?~-Px;p +`?-`;p +p @(-p;p X@H-rp @h-Hrp @-hsp +A-sp 8A-0tp +pA-htp +A-tp +A(-tp +BH-up +PBh-Hup B- 8p 0B-0p @BȀ-@Ȭp +P0C-PXp `hC-`p +pC(-pȭp +CH-p +Dh-8p +HD-pp +D-p Dȁ-p D-p (E-(p +`E(-p EH-p +Eh-(p + F- `p +0@F-0p +@xFȂ-@p +PF-Pp `F-`p p G(-php XGH-p +Gh- p G-P p +H- p +8Hȃ- p +pH- p +H-0!p +H(-h!p IH-XXp PIh-Wp I- Xp +0I-0xYp @IȄ-@Yp +P0J-PYp +`hJ-` Zp +pJ(-pXZp +JH-Zp +Kh-Z-dwx-x_wȅ-"0_w-%Hiw؅ X,@)7p@-xip0`-ip7-p h-@p -p 8- @p +0@8-0p @x8 -@p +P8@-Pp +`8`-`8p +p 9-p`p +X9-p +9-p 9-Hp :-p 8: -p +p:@-hp :`-p +:-p +;-p +P;-Hp + ;- p +0;-0p @; -@p P0<@-Pp `h<`-`8p +p<-pp <-p +=-8p +H=-pp +=-p += -p +=@-p (>`-=p `>-x<p >-=p +>-(>p ?- `>p +0@?-0>p +@x? -@>p +P?@-P?p +`?`-`@?p +p @-px?p X@-hvp @-up @-vp +A-wp 8A -wp +pA@-wp +A`-0xp +A-hxp +B-xp +PB-xp B- ȯp 0B-08p @B -@Xp +P0C@-Pp `hC`-` p +pC-pXp +C-p +D-ȱp +HD-p +D-8p D -(p D@-p (E`-p +`E-Hp E-p +E-p + F- p +0@F-0(p +@xF -@`p +PF@-Pp `F`-`"p p G-p!p XG-#p +G-#p G-#p +H-$p +8H -P$p +pH@-$p +H`-$p +H-$p I-[p PI-X[p I- x\p +0I-0]p @I -@@]p +P0J@-Px]p +`hJ`-`]p +pJ-p]p +J- ^p +K-X^-dwБ-x_w -"0_w@-%Hiw0 h@)T7p-xip0-ip7ؒ-Xp h-0p -p 88- 0p +0@8X-0p @x8x-@ؗp +P8-Pp +`8-`(p +p 9ؓ-pPp +X9-xp +9-p 98-p :X-Hp 8:x-hp +p:-p :-0p +:ؔ-hp +;-p +P;-p + ;8- p +0;X-0Hp @;x-@8p P0<-Pp `h<-`p +p<ؕ-pXp <-p +=-p +H=8- p +=X-8 p +=x-p p +=- p (>-@p `>ؖ-@p >-(Ap +>-Ap ?8- Ap +0@?X-0(Bp +@x?x-@`Bp +P?-PBp +`?-`Bp +p @ؗ-pCp X@-yp @-hyp @8-zp +AX-{p 8Ax-P{p +pA-{p +A-{p +Aؘ-{p +B-0|p +PB-h|p B8- Xp 0BX-0Ȳp @Bx-@p +P0C-Pxp `hC-`p +pCؙ-pp +C- p +D-Xp +HD8-p +DX-ȵp Dx-p D-(p (E-Hp +`Eؚ-p E-p +E-Hp + F8- p +0@FX-0p +@xFx-@p +PF-P(p `F-`&p p G؛-p%p XG-&p +G-8'p G8-p'p +HX-'p +8Hx-'p +pH-(p +H-P(p +H؜-(p I-x_p PI-^p I8- `p +0IX-0`p @Ix-@`p +P0J-Pap +`hJ-`@ap +pJ؝-pxap +J-ap +K-a-ewX(-x_wxH-"0_wh-%Hiw Б@)78h p-xip0-ip70-Ȃp hP- p p-p 8- p +0@8-0p @x8П-@Țp +P8-Pp +`8-`p +p 90-p@p +X9P-hp +9p-p 9-hp :-p 8:Р-p +p:-p :-p +:0-p +;P-0p +P;p-hp + ;- p +0;-0p @;С-@ +p P0<-P8 +p `h<-`X p +p<0-p p <P- p +=p-X p +H=- p +=- p +=Т- +p +=-8 +p (>-(Dp `>0-Cp >P-Dp +>p-HEp ?- Ep +0@?-0Ep +@x?У-@Ep +P?-P(Fp +`?-``Fp +p @0-pFp X@P-}p @p-|p @-~p +A-~p 8AФ-~p +pA-p +A-Pp +A0-p +BP-p +PBp-p B- p 0B-0Xp @BХ-@xp +P0C-Pp `hC-`@p +pC0-pxp +CP-p +Dp-p +HD- p +D-Xp DЦ-Hp D-p (E-p +`E0-hp EP-p +Ep-p + F- p +0@F-0Hp +@xFЧ-@p +PF-Pp `F-`)p p G0-p)p XGP-8*p +Gp-*p G-+p +H-8+p +8HШ-p+p +pH-+p +H-+p +H0-,p IP-cp PIp-xbp I- cp +0I-0(dp @IЩ-@`dp +P0J-Pdp +`hJ-`dp +pJ0-pep +JP-@ep +Kp-xe-ew-x_wЪ-"0_w-%Hiw ` @)7ܥ"pH-xip0h-ip7-8p h-p ȫ-p 8- p +0@8-0p @x8(-@p +P8H-Pp +`8h-`p +p 9-p0p +X9-Xp +9Ȭ-p 9-p :-hp 8:(-p +p:H-p :h-Pp +:-p +;-p +P;ȭ-p + ;- 0p +0;-0hp @;(-@Xp P0<H-P +p `h<h-`p +p<-pxp <-p +=Ȯ-p +H=- p +=-Xp +=(-p +=H-p (>h-Gp `>-(Gp >-HHp +>ȯ-Hp ?- Ip +0@?-0HIp +@x?(-@Ip +P?H-PIp +`?h-`Ip +p @-p(Jp X@-p @Ȱ-p @-p +A-8p 8A(-pp +pAH-p +Ah-p +A-p +B-Pp +PBȱ-p B- xp 0B-0p @B(-@p +P0CH-Pp `hCh-`лp +pC-pp +C-@p +DȲ-xp +HD-p +D-p D(-p DH-Hp (Eh-hp +`E-p E-0p +Eȳ-hp + F- p +0@F-0p +@xF(-@p +PFH-PHp `Fh-`8-p p G-p,p XG--p +Gȴ-X.p G-.p +H-.p +8H(-/p +pHH-8/p +Hh-p/p +H-/p I-fp PIȵ-fp I- (gp +0I-0gp @I(-@gp +P0JH-P(hp +`hJh-``hp +pJ-php +J-hp +Kȶ-i-(fwض- x_w(-#0_wH-&Hiw8@+\7P$p-xip0-ip7-p h-p  -p 8@- p +0@8`-0p @x8-@p +P8-PРp +`8-`p +p 9-p p +X9-Hp +9 -pp 9@-p :`-p 8:-(p +p:-p :-p +:-(p +;-`p +P; -p + ;@- p +0;`-0p @;-@p P0<-P`p `h<-`p +p<-pp <-Pp += -p +H=@-p +=`-p +=-0p +=-hp (>-XKp `>-Jp >-Kp +> -xLp ?@- Lp +0@?`-0Lp +@x?-@ Mp +P?-PXMp +`?-`Mp +p @-pMp X@-p @ - p @@-Hp +A`-؅p 8A-p +pA-Hp +A-p +A-p +B-p +PB -(p B@- p 0B`-0p @B-@p +P0C-P8p `hC-`pp +pC-pp +C-p +D -p +HD@-Pp +D`-p D-xp D-p (E-p +`E-p E-p +E -p + F@- @p +0@F`-0xp +@xF-@p +PF-Pp `F-`0p p G-p@0p XG-h1p +G -1p G@-02p +H`-h2p +8H-2p +pH-2p +H-3p +H-H3p I-8jp PI -ip I@- jp +0I`-0Xkp @I-@kp +P0J-Pkp +`hJ-`lp +pJ-p8lp +J-plp +K -l-fw`0- x_wP-#0_wp-&Hiw`@+7@Ĩ&p-xip0-ip78-p hX-p x-pp 8- p +0@8-0pp @x8-@p +P8-Pp +`8-`p +p 98-pp +X9X-8p +9x-`p 9-8p :-p 8:-p +p:-Xp :-p +:8-p + ;X- p + P;x- 8p + ;- pp +0 ;-0 p @ ;-@ p P 0<-P p ` h<-` (p +p <8-p p <X- p + =x- (p + H=- `p + =- p + =- p + =- p (>- Np `>8- `Np +>X- +Op + +>x- +Pp +?- +PPp +0 +@?-0 +Pp +@ +x?-@ +Pp +P +?-P +Pp +` +?-` +0Qp +p + @8-p +hQp +X@X- +Xp +@x- +p +@- +p + +A- +xp +8A- +p + +pA- +p + +A- + p + +A8- +Xp + BX- p + PBx- Ȋp B- p 0 B-0  p @ B-@ Hp +P 0C-P p ` hC-` p +p C8-p Hp + CX- p + Dx- p + HD- p + D- (p D- p D- p (E- p + `E8- 8p EX- pp + Ex- p + F- p +0 @F-0 p +@ xF-@ Pp +P F-P p ` F-` x4p p  G8-p 3p XGX- 5p + Gx- 5p G- 5p + H- 6p + 8H- @6p + pH- x6p + H- 6p + H8- 6p +IX- +mp  +PIx- +@mp +I- +hnp +0 +I-0 +np @ +I-@ +0op +P +0J-P +hop +` +hJ-` +op +p +J8-p +op + +JX- +pp + +Kx- +Hp- +8gw- +x_w-# +0_w-& +Hiwhho@+ + 78(p +P- +xip +0p- +ip +7- +p h-p -`p 8- p +0@8-0`p @x80-@p +P8P-Pp +`8p-`ئp +p 9-pp +X9-(p +9-Pp 9-p :-@p 8:0-hp +p:P-p :p-0p +:-hp +;-p +P;-p + ;- p +0;-0Hp @;0-@8p P0<P-Pp `h<p-`p +p<-pXp <-p +=-p +H=-p +=-8p +=0-pp +=P-p (>p-Rp `>-Rp >-(Sp +>-Sp ?- Sp +0@?-0(Tp +@x?0-@`Tp +P?P-PTp +`?p-`Tp +p @-pUp X@-p @-`p @-p +A-p 8A0-Pp +pAP-p +Ap-p +A-p +B-0p +PB-hp B- Xp 0B-0p @B0-@p +P0CP-Pxp `hCp-`p +pC-pp +C- p +D-Xp +HD-p +D-p D0-p DP- p (Ep-Hp +`E-p E-p +E-Hp + F- p +0@F-0p +@xF0-@p +PFP-P(p `Fp-`8p p G-p7p XG-8p +G-89p G-p9p +H-9p +8H0-9p +pHP-:p +Hp-P:p +H-:p I-xqp PI-pp I- rp +0I-0rp @I0-@rp +P0JP-Psp +`hJp-`@sp +pJ-pxsp +J-sp +K-s-gw- x_w0-#0_wP -&Hiw@3@+d7*p-xip0-ip7-p h-Чp (-Pp 8H- Шp +0@8h-0Pp @x8-@xp +P8-Pp +`8-`ȩp +p 9-pp +X9-p +9(-@p 9H-xp :h-p 8:-p +p:-p :-p +:-p +;-@p +P;(-xp + ;H- p +0;h-0p @;-@p P0<-P@p `h<-`hp +p<-pp <-0p +=(-hp +H=H-p +=h-p +=-p +=-Hp (>-8Vp `>-Up >-Vp +>(-XWp ?H- Wp +0@?h-0Wp +@x?-@Xp +P?-P8Xp +`?-`pXp +p @-pXp X@-p @(-p @H-(p +Ah-p 8A-p +pA-(p +A-`p +A-p +B-Бp +PB(-p BH- p 0Bh-0`p @B-@p +P0C-Pp `hC-`Pp +pC-pp +C-p +D(-p +HDH-0p +Dh-hp D-Xp D-p (E-p +`E-xp E-p +E(-p + FH-  p +0@Fh-0Xp +@xF-@p +PF-Pp `F-`;p p G-p ;p XG-H<p +G(-<p GH-=p +Hh-H=p +8H-=p +pH-=p +H-=p +H-(>p I-up PI(-tp IH- up +0Ih-08vp @I-@pvp +P0J-Pvp +`hJ-`vp +pJ-pwp +J-Pwp +K(-w-Hhwh8- x_wX-#0_wx-&Hiw8V@+7H ,p-xip0 -ip7@-hp h`-p -@p 8- p +0@8-0@p @x8-@hp +P8-Pp +`8 -`p +p 9@-pp +X9`-p +9-0p 9-p :-p 8:-p +p:-8p : -pp +:@-p +;`-p +P;-p + ;- Pp +0;-0p @;-@x p P0<-Pp `h< -`!p +p<@-p!p <`-!p +=-"p +H=-@"p +=-x"p +=-"p +=-"p (> -Yp `>@-@Yp >`-hZp +>-Zp ?- 0[p +0@?-0h[p +@x?-@[p +P?-P[p +`? -`\p +p @@-pH\p X@`-8p @-p @-ȓp +A-Xp 8A-p +pA-Ȕp +A -p +A@-8p +B`-pp +PB-p B- p 0B-0p @B-@(p +P0C-Pp `hC -`p +pC@-p(p +C`-`p +D-p +HD-p +D-p D-p D-`p (E -p +`E@-p E`-Pp +E-p + F- p +0@F-0p +@xF-@0p +PF-Php `F -`X?p p G@-p>p XG`-?p +G-x@p G-@p +H-@p +8H- Ap +pH-XAp +H -Ap +H@-Ap I`-xp PI- xp I- Hyp +0I-0yp @I-@zp +P0J-PHzp +`hJ -`zp +pJ@-pzp +J`-zp +K-({-hw- x_w-#0_w-&Hiw؅@+7.pX-xip0x-ip7-؅p h- p  - Pp 8- p +0 @8-0 xp @ x88-@ p +P 8X-P p +` 8x-`  p +p  9-p Xp + X9- p + 9- ȳp 9- p :-  p 8:8- Hp + p:X- p :x- p + :- Hp +!;-!p +!P;-!p + !;- !p +0!;-0!(p @!;8-@!$p P!0<X-P!#p `!h<x-`!$p +p!<-p!8%p !<-!p%p +!=-!%p +!H=-!%p +!=-!&p +!=8-!P&p +!=X-!&p !(>x-!x]p !`>-!\p ">-"^p +">-"^p "?- "^p +0"@?-0"_p +@"x?8-@"@_p +P"?X-P"x_p +`"?x-`"_p +p" @-p"_p "X@-"ؖp "@-"@p "@-"hp +"A-"p "8A8-"0p +"pAX-"hp +"Ax-"p +"A-"ؘp +#B-#p +#PB-#Hp #B- #8p 0#B-0#p @#B8-@#p +P#0CX-P#Xp `#hCx-`#p +p#C-p#p +#C-#p +#D-#8p +#HD-#pp +#D-#p #D8-# p #DX-# p #(Ex-#( +p +#`E-# +p $E-$ +p +$E-$( p + $F- $` p +0$@F-0$ p +@$xF8-@$ p +P$FX-P$ p `$Fx-`$Bp p$ G-p$`Bp $XG-$Cp +$G-$Dp $G-$PDp +$H-$Dp +$8H8-$Dp +$pHX-$Dp +$Hx-$0Ep +$H-$hEp %I-%X|p %PI-%{p %I- %|p +0%I-0%x}p @%I8-@%}p +P%0JX-P%}p +`%hJx-`% ~p +p%J-p%X~p +%J-%~p +%K-%~4áţ-%0`w0-%x_wP -%0_wp@-%Hiw` ж@"%HK0p%-%xip%0-%ip +%K0܃ɭ̸ن-%Pp +&KH0܃ɭ̸ن-&p +&Kx0܃ɨ̨څ-&p &(L,Ü֥- &xp 0&`L(נŚ-0&p @&L,Üֵ̯-@&p P&L8,Ü֥ѳ셅-P& p `&Mh,ÜַԿ-`&Xp p&@M,Üֳ샃-p&p &xM,Ü֤Щ-&ȳp +&M0ЏšՊ-&p +&M(0ЏšՊ-&0p +& NX0ЏŤ։-&p &XN,ϐک̠-&0p &N(Α۬ɖ-&Xp &N,ϐڹ-&p 'O,ϐکݿ-'p '8OH,ϐڻس셅-'Яp 'pOx,ϐڿͿ- 'p 0'O,ϐڨܥ-0' pP'O-P'Hp`'P-`'pp'PP-p'(p'P(-'p'PH-'p'Ph-'xp'0Q-'p'hQ-'Xp'Q-'Ȃp'Q-'8p'R-'p(HR(-(p(RH-(p (Rh- (p0(R-0(hp@((S-@(؅ 0o`(X8 xE@~x ;FH}A;(z Xq~0!zx}20D08 sH<8PHYXZZxxY`;HHT`PmyXȲ>x@T8/zX?yPHz=+(wȬQ(nm({:yX(^XWhsx_XVx8WW@UX[Pz X:[0h81%CfPw&`fvP:xs!hP`jwx68v/GcH=X0,rR8-SpSXXR`h88)hPMR)xXM(sHas6Wo*(XXs2rk@]9P8TPH'=T8OhxPOhNdؖXpvgh1>Hyp8Uh`h8lHxc@cHp0xn'&6hyxL ~yKHLPL8K@auHhvX8 +@; pl@@(` kX/H(xXȂ8h2}8(z(gHȩ(kHHG]k$ -p%Ig(Dm7hH88NhhHrhHqV  APOHnv0LHo0xx Zx?wXY(j 4hFp9H H@m8S0.mr/`dX.x@siH08jV(h0 ihg}`f0H@CBP{hАP#H3iO8+90|,+*o@0&)XP0Sdȵ.xe@e(duШ8:xx\-H`@?(_ؘX~#h`fL'@6x(P(D8'lx"h؅ aaX ` pK( ;;ȉ%p|uX~$c$$XApй_ xq5`b08*Kx]{ ^I]&@X|8%JJ 0mĆx2ĆPm<!mLLn@ @n@ X@ nL L 0n| | @n  +Pn܊ 8܊ `n  p  +pn< < +nl l +n  +n̋ P̋ +n  n K  K n,K ,K nLK 0LK +nlK hlK oK K +oK K + oK K +0oK HK +@o L  L +Po,L ,L `oLL LL polL (lL oL `L +oL L oL L +oL L +o M @ M +o,M x,M +oLM LM +olM lM pM M pM XM pM M +0pM M @p N  N +Pp,N 8,N +`pLN pLN +pplN lN +pN N +pN N pN PN pN N p O  O +p,O ,O pLO 0LO +plO hlO +qO O +qO O + qO O +0qO HO @q P  P Pq,P ,P `qLP LP +pqlP (lP qP `P +qP P +qP P +qP P +q Q @ Q +q,Q x,Q qLQ LQ qlQ lQ rQ Q +rQ X Q rQ Q +0rQ Q +@r R ! R +Pr,R 8!,R +`rLR p!LR +prlR !lR rR !R rR "R rR P"R +rR "R r S " S +r,S ",S +rLS 0#LS +rlS h#lS +sS #S +sS #S sS $S 0sS H$S @s T $ T +Ps,T $,T `sLT $LT +pslT (%lT +sT `%T +sT %T +sT %T +sT &T s U @& U s,U x&,U sLU &LU +slU &lU tU 'U +tU X'U + tU 'U +0tU 'U +@t V ( V +Pt,V 8(,V `tLV p(LV ptlV (lV tV (V +tV )V tV P)V +tV )V +t W ) W +t,W ),W +tLW 0*LW +tlW h*lW uW *W uW *W uW +W 0uW H+W +@u X + X Pu,X +,X `uLX +LX pulX (,lX uX `,X uX ,X uX ,X uX -X u Y @- Y u,Y x-,Y +uLY -LY ulY -lY vY .Y vY X.Y vY .Y 0vY .Y @v Z / Z Pv,Z 8/,Z `vLZ p/LZ pvlZ /lZ +vZ /Z vZ 0Z vZ P0Z vZ 0Z v [ 0 [ v,[ 0,[ vL[ 01L[ vl[ h1l[ w[ 1[ w[ 1[ + w[ 2[ 0w[ H2[ @w \ 2 \ Pw,\ 2,\ `wL\ 2L\ pwl\ (3l\ w\ `3\ w\ 3\ w\ 3\ w\ 4\ +w ] @4 ] w,] x4,] wL] 4L] wl] 4l] x] 5] x] X5] x] 5] 0x] 5] @x ^ 6 ^ Px,^ 86,^ +`xL^ p6L^ pxl^ 6l^ x^ 6^ x^ 7^ x^ P7^ x^ 7^):7:; 7; T< 08T< +0< h8< @< 8< +P< 8< +`= 9= +pD= H9D= +t= 9t= += 9= A 9 A ,A (:,A LA `:LA +lA :lA A :A +A ;A +A @;A# +A x;A + B ; B +0,B ;,B @LB <LB PlB X<lB `B <B +pB <B B =B +B 8=B + C p= C8% +,C =,C +LC =LC +lC >lC7 C P>C C >C C >C +C >C D 0? D +0,D h?,D +@LD ?LDh' +PlD ?lD +`D @D +pD H@D D @D D @D E @ E +,E (A,E LE `ALE +lE AlE +E AE) +E BE +E @BE +E xBE F B F 0,F B,F @LF CLF +PlF XClF `F CF +pF CF +F DF +F 8DF + G pD G +,G D,G LG DLG lG ElG G PEG +G EG G EG +G EG + H 0F H +0,H hF,H +@LH FLH +PlH FlH `H GH pH HGH H GH +H GH I G I +,I (H,I +LI `HLI +lI HlI +I HI +I II I @II I xII J I J +0,J I,J @LJ JLJ +PlJ XJlJ +`J JJ +pJ JJ +J KJ +J 8KJ"%pK +%  K  +&< K< +&l Ll & PL 0& L @& L P&, L, `&\ 0M\ p& hM & M +& M +& N +&L HNL &| N| & N & N '  (O  '< `O< 'l Ol 0' OP'< P<`' @Pp' xP' P' P'l Ql'܁ XQ܁'L QL' Q', R,' 8R(  pR =(| R| ( R0(\ S\(0@(̅ PS̅zpSHizSjzSjzSkzSkzSlzSxmzTmz TxnzToz0ThpzPTpz`Thqz@TrzpTXszTszTXtzTuzTHvzTvzTHwzTxzT8yzUyz U8zzU{z0U(|zPU|z`U(}z@U~zpUzUzUzUzUzUzUzUxzUzVxz VzVhz0VzPVhz`Vz@VXzpV؊zVXzV؋zVHzVȍzVHzVȎzV8zVzW8z WzW(z0WzPW(z`Wz@WzpWzWzWzWzWzWzWzWzWxzXz XxzXz0XhzPXz`Xhz@XءzpXXzXآzXXzXȤzXHzXȥzXHzXzX8zYz Y8zYz0Y(zPYz`Y(z@YzpYzYzYzYzY zYzYPzY0zYzZPz ZzZz0ZPzPZz`Zpz@ZPzpZzZpzZzZzZpzZzZzZpzZz[z [ z[z0[zP[ z`[z@[zp[ z[z[@z[ z[z[@z[z[z[@z\z \`z\@z0\zP\`z`\z@\zp\hz\z\z\pz\z\z\0z\z\z]@z ]z]z0]HzP]z`]pz@]Pzp]z]z]z]z]z] z]z]z] z^z ^@z^ z0^zP^@z`^z@^zp^@z^z^`z^@z^z^`z^z^z^`z_z _z_`z0_zP_z`_z@_zp_z_z_z_z_z_z_0z_ +z_ +z`0 z ` z` +z0`0zP`z``Pz@`0zp`z``z`z`z`hz`z`z`pz`zaz a0zaz0azPa@z`az@azpaH za zap!zaP#za#za$za%za&za'zb(z b(zb*z0b+zPb+z`b0,z@b.zpb.zb0/zb/zb1zb02zb2zbP3zb05zb5zcP6z c6zc8z0cP9zPc9z`cp:z@cP<zpc<zcp=zc>zc?zcp@zcAzcAzcpCzcDzdDz d EzdGz0dGzPd Hz`dHz@dJzpd(KzdKzdPLzd0NzdNzd`OzdOzdQzdhRzeSz eSzepUz0eVzPeVz`e0Wz@eYzpeYze@ZzeZze\zeH]ze]zep^zeP`ze`zfpaz fbzfcz0fpdzPfez`fez@fpgzpfhzfhzf izfkzfkzf lzflzfnzf ozgoz g@pzg rz0grzPg@sz`gsz@guzpg@vzgvzg`wzg@yzgyzg`zzgzzg|zg`}zh}z h~zh`z0hzPhz`hz@hzphzh zhzhzh(zhzhPzh0zhȋzi`z iziЎz0ihzPiz`iz@ipzpizizi0zizizi@ziЗzizi@zjКz j`zj@z0jНzPj`z`jz@jРzpj`zjzjzj`zjzjzjzjzjzkz kzkz0kzPkz`k0z@kzpkzk0zkzkzk0zkzkPzk0zkzlPz lzlz0lPzPlz`lpz@lPzplzlzlzlzlzl zlzlzl(zmz mPzm0z0mzPm`z`mz@mzpmhzmzmzmpzmzmzm0zmzmzn0z nznz0n0zPnz`nPz@n0zpnznPznznznPznznpznPznzopz ozoz0opzPoz`oz@opzpozozo zozozo zozozo zpz p@zp z0pzPp@z`pz@pzppHzpzppzpPzpzpzpzpzpzq z qzqz0q(zPqz`qPz@q0zpqzq`zqzqzqh zq +zq +zqp zq +zr +z r zrz0rzPr z`rz@rzpr zrzr@zr zrzr@zrzrzr@zsz s`zs@z0szPs`z`sz@s!zps`"zs"zs#zs`%zs%zs&zs'zs(zs)zt*z t*zt,z0t-zPt-z`t0.z@t0zpt0zt@1zt1zt3ztH4zt4ztp5ztP7zt7zu8z u9zu:z0u;zPu <z`u<z@u>zpu(?zu?zuP@zu0BzuBzu`CzuCzuEzu`FzvFz vGzv`Iz0vIzPvJz`vKz@vLzpvMzvNzvNzvPzvQzvQzv0RzvTzvTzw0Uz wUzwWz0w0XzPwXz`wPYz@w0[zpw[zwP\zw\zw^zwP_zw_zwp`zwPbzwbzxpcz xdzxez0xpfzPxgz`xgz@xpizpxjzxjzx0kzxmzxmzx@nzxnzxpzxHqzyqz yprzyPtz0ytzPyuz`yvz@ywzpyxzy yzyyzy{zy(|zy|zyP}zyȉzyȉzzȉzzz zz0zz@zzPzz`zzpzzzzzzzzzzzzzzzzzz{z{z {z@{%zP{%z`{%z`;z{`;z{`;z{`;zHHz{HHz{HHz{HHzTz|Tz|Tz |Tz`z@|`zP|`z`|`zPmz|Pmz|Pmz|Pmzyz|yz|yz|yzz}z}z }zXz@}XzP}Xz`}Xzz}z}z}zz}z}z}z`z~`z~`z ~`zz@~zP~z`~zz~z~z~zhz~hz~hz~hzzzz zz@zPz`zzzz +J @@A8AXAxAAAAAB8BXBxBBBBBC8CXCxCCCCCD8DXDxDDDDDE8EXExEEEEEF8FXFxFFFFFG8GXGxGGGGGH8HXHxHHHHHI8IXIxIIIIIJ8JXJxJJJJJK8KXKxKKKKKL8LXLxLLLLLM8MXMxMMMMMN8NXNxNNNNNO8OXOxOOOOOP8PXPxPPPPPQ8QXQxQQQQQR8RXRxRRRRRS8SXSxSSSSST8TXTxTTTTTU8UXUxUUUUUV8VXVxVVVVVW8WXWxWWWWWX8XXXxXXXXXY8YXYxYYYYYZ8ZXZxZZZZZ[8[X[x[[[[[\8\X\x\\\\\]8]X]x]]]]]^8^X^x^^^^^ӆۇ8hȊ(X8Xx،8Xx؍8Xx؎8Xx؏8Xxؐ8Xxؑ8Xxؒ8Xxؓ8Xxؔ8Xxؕ8Xxؖ8Xxؗ8Xxؘ8Xxؙ8Xxؚ8Xx؛8Xx؜8Xx؝8Xx؞8Xx؟Р0PpС0PpТ0PpУ0PpФ0PpХ0PpЦ0PpЧ0PpШ0PpЩ0PpЪ0PpЫ0PpЬ0PpЭ0PpЮ0PpЯ0Ppа0Ppб0Ppв0Ppг0Ppд0Ppеȶ(Hhȷ(Hhȸ(Hhȹ(HhȺ(HhȻ(Hhȼ(HhȽ(HhȾ(Hhȿ(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh+ @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` C8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8[0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp 0 P p      +0 +P +p + + + + + 0 P p      0 P p      +0 +P +p + + + + +0Ps(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh ( H h     !(!H!h!!!!!"("H"h"""""#(#H#h#####$($H$h$$@%`%%%%%& &@&`&&&&&' '@'`'''''( (@(`((((() )@)`)))))* *@*`*****+ +@+`+++++, ,@,`,,,,,- -@-`-----. .@.`...../ /@/`/////0 0@0`000001 1@1`111112 2@2`222223 3@3`333334 4@4`444445 5@5`555556 6@6`666667 7@7`777778 8@8`888889 9@9`99999: :@:`:::;;;< <@<p<<<=0=`====> >@>`>>>>>? ?@?`?????@ @@@`@@@@@A A@A`AAAAAB B@B`BBBBBC C@C`CCCCCD D@D`DDDDDE E@E`EEEEEF F@F`FFFFFG G@G`GGGxHHHHHI8IXIxIIIIIJ8JXJxJJJJJK8KXKxKKKKKL8LXLxLLLLLM8MXMxMMMMMN8NXNxNNNNNO8OXOxOOOOOP8PXPxPPPPPQ8QXQxQQQQQR8RXRxRRRRRS8SXSxSSSSSTTU0UPUpUUUUUV0VPVpVVVVVW0WPWpWWWWWX0XPXpXXXXXY0YPYpYYYYYZ0ZPZpZZZZZ[0[P[p[[[[[\0\P\p\\\\\]0]P]p]]]]]^0^P^p^^^^^_0_P_p_____`0`S`(aHahaaaaab(bHbhbbbbbc(cHchcccccd(dHdhddddde(eHeheeeeef(fHfhfffffg(gHghgggggh(hHhhhhhhhi(iHihiiiiij(jHjhjjjjjk(kHkhkkkkkl(lHlhlllmmmmn n@n`nnnnno o@o`ooooop p@p`pppppq q@q`qqqqqr r@r`rrrrrs s@s`ssssst t@t`tttttu u@u`uuuuuv v@v`vvvvvw w@w`wwwwwx x@x`xxxxxyyyz8zXzxzzzzz{8{X{x{{{{{|8|X|x|||||}8}X}x}}}}}~8~X~x~~~~~8Xx8Xx؀8Xx؁8Xx؂8Xx؃8Xx؄8[0PpІ0PpЇ0PpЈ0PpЉ0PpЊ0PpЋ0PpЌ0PpЍ0PpЎ0PpЏ0PpА0PpȒ(Hhȓ(HhȔ(Hhȕ(HhȖ(Hhȗ(HhȘ(Hhș(HhȚ(Hhț(HhȜ(Hhȝ  @` @` @` @` @` @` @` @` @` @` @` @c8Xxث8Xxج8Xxح8Xxخ8Xxد8Xxذ8Xxر8Xxز8Xxس8Xxش8Xxص8Xxз0Ppи0Ppй0Ppк0Ppл0Ppм0Ppн0Ppо0Ppп0Pp0Pp0Pp(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hk@` @` @` @` @` @` @` @` @` @` @` @`8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx8Xx0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0PsHh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(Hh(XHx8h(X8Xx8XxìȽѴ)7:89@~~w{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{U{{WUUUUUU3!@D"xi@i@ jAj8A kXAkxAkAkAlA@lAhlBl8BmXBmxBnBnBnBnBoC0o8CXoXCoxCpCpCqCqCqDq8DqXD rxDHrDprDrDpsDsEpt8EtXEtxEtEuE8uE`uEuF`v8FvXF`wxFwFwFwFxF(xGPx8GxXGPyxGyGPzGxzGzGzHz8H{XH@{xH{H@|H|H@}Hh}I}8I}XI}xI~I0~I~I0IJ08JXXJxJJЀJJ JK 8KXK xKHKpKKKL8LXLxLLL8L`LM8M؆XMxMMMMM(NP8NxXNxNȉNNpNNpO8OXO@xOhOOOO`P8P`XPxPP0PXPPQЏ8QPXQАxQPQБQQ QHRp8RXRxR@RR@RRS8S8XS`xSSS0SS0T8TؗXTxT(TPTxTT U8U XUxUȚUUU@UhV8VXVxVVVVVW08WXXWxWWWWWXР8XXX xXHXpXXpXYp8YXYxYYY8Y`YZ`8ZXZ`xZZZئZZ([P8[ЧX[Px[Ш[P[x[[ȩ\8\X\@x\\@\\@\h]8]X]x]]0]]0]^08^XX^x^^Я^^ ^І؇ӵ՚ܒАө濹Ƶk,3ijDk`XYwovX/Mqp|[6W$P5Gq9tZtnfH% g`mCrAu[-^**D'Q=R55[LI * % +b +`*X-I mfgJ)F4Q" +zG$K%H)Q~ +d &T L` dK9L" +cwj.M)Ztq2=qfH$M///JBU&)nl &T!E,ajkF%J8].vK(G)D%]rh*X,@lhG5@.o {f +"J.Bl{ ( '`hF0Q###G JG4;|~4F3W>sxy T7X*O<dY:U;V7O`f +%S6D-A.IhIgIfHfIgIfHfI;O# zmh`A``u*I&H%DR} nuv?>>?>>c{T"G5\0_88O-r~}d;V7D0U'xwY//`X;,0P7>F@0@@@DX;X<;tT@ @` !`!!! ! @`@|@@ pVpV ۴ƭ@pV, @PʨӲТ̠˔-0`Wݮڅ- 0W(ܾ- `(X(ܾ-`X(ܾ-`(Y$߽Ǯ-)`Y ޼-2`Y$߽ĭ-<`Y$߽ر-p Z$߽Ի-pHZ$߽ط-ppZ$߽­-&pZ(ӲƧ- [(ӲƧ-[(ֲ֤-\$ǢΑ-)\ §-2\$׮͒-<\$dzю-]$ն݂-8]$ѣю-`]$Ʋ˔-&](ҳǦ- ^(ҳǦ-^(׳ץ-_$ƣϐ-)_ æ-2_$֯̓-<_$ƲЏ-`$Է܃-(`$ТЏ-P`$dzʕ-&x`(Ѱĥ- `(Ѱĥ- xa(԰Ԧ- a$Š̓-) xb -2 b$լϐ-< b$űӌ-0b$״߀-0c$ӡӌ-0@c$İɖ-&0hc(бŤ- `c(бŤ-`hd(ձէ-`d$ġ͒-)`he -2`e$ԭΑ-<`e$İҍ-pe$ֵށ-pf$Ҡҍ-p0f$űȗ-&pXf(׶£- f(׶£-Xg(ҶҠ-g$æʕ-)Xh ƣ-2h$Ӫɖ-<h$÷Պ-h$Ѳن-h$էՊ- i$¶ϐ-&Hi(ַâ- i(ַâ-Hj(ӷӡ-j$§˔-)Hk Ǣ-2pk$ҫȗ-<k$¶ԋ-k$г؇-k$Ԧԋ-l$÷Α-&8l(մ- l(մ- 8m(дТ- m$ȗ-) 8n ġ-2 `n$Ѩ˔-< n$׈-0n$Ӱۄ-0n$ץ׈-0o$͒-&0(o(Ե- `o(Ե-`(p(ѵѣ-`p$ɖ-)`(q Š-2`Pq$Щʕ-<`xq$։-pq$ұڅ-pq$֤։-pq$̓-&pr(ۺί- r(ۺί-s(޺ެ-s$Ϫƙ-)t ʯ-2@t$ߦŚ-<ht$ϻن-t$ݾՊ-t$٫ن-t$κÜ-&u(ڻϮ- u(ڻϮ-v(߻߭-v$Ϋǘ-)w ˮ-20w$ާě-<Xw$κ؇-w$ܿԋ-w$ت؇-w$ϻ-&w,؇ȡ- + xx,؇ȧ- x,؇ˤ-" xy(ن-- y$څȧ-7  z(ن-B Hz(ن- 0pz(ن-0z(ن-0z(ن-*0z,نɠ- +`h{,نɦ-`{,نʥ-"`h|(؇--`|$ۄɦ-7`}(؇-B`8}(؇- p`}(؇-p}(؇-p}(؇-*p},څʣ- +X~,څʥ-~,څɦ-"X(ۄ--$؇ʥ-7(ۄ-B((ۄ- P(ۄ-x(ۄ-(ۄ-*Ȁ,ۄˢ- +H,ۄˤ-ȁ,ۄȧ-"H(څ--Ȃ$نˤ-7(څ-B(څ- @(څ-h(څ-(څ-*,܃̥- + 8,܃̣- ,܃Ϡ-" 8(݂-- $ށ̣-7 (݂-B (݂- 00(݂-0X(݂-0(݂-*0,݂ͤ- +`(,݂͢-`,݂Ρ-"`((܃--`$߀͢-7`Ј(܃-B`(܃- p (܃-pH(܃-pp(܃-*p Ʊ. hV V@ LVPVVVH ô. hV0W@ VV0W0Wx Ѧ-pV. WMVW`W@ V W XW@ @@(X@@@-pV. + WK WW.  X+WWXX@ A@X- pV.  WK +PX`X. + X+pXXY(Y@,A -V. + WK XX.  X+XY!YY@LA@Y-0W. + WK PY`Y.  X+pYY&[Y@lA@Y$Y@A@) Z@A@+HZ@A@-pZ@ +A /Z@ + B 3[@ +,B 7-ppV. +p WK pZZ. p X+ZZ=[[X@pLB@[;- pV.  WK +@[P[. + X+`[p[B\\@lB[@- V.  WK +[[. + X+[[G\\@B\E- 0W.  WK +@\P\. + X+`\p\L]\@B\J\@BO]Z@BQ8]@ CS`]@,C@U]`W@LC@W^@lC@Y-0pV. +0 WK 0]]. 0 X+]]]p^^@0C@][- @pV. @ WK +@0^@^. +@ X+P^`^b^_Y@@Cp^`- PV. P WK +P^^. +P X+^^gp__@PC^e- `0W. ` WK +`0_@_. +` X+P_`_l`_[@`Cp_j_@p Do` Z@,Dq(`0W@LDsP`@lD@ux`@D@w`@D@y-pV. + WK ``.  X+``}`axa@D@`{- pV.  WK + a0a. + X+@aPaaa@D`a- V.  WK +aa. + X+aa`bxb@ Ea- 0W.  WK +  b0b. +  X+@bPbcb@ ,E`bb@0LEb@@lEc`]@PE@c@`E@hc@pE@c^@E@-pV. + WK cc.  X+ccPdhd`@ F@c- pV.  WK +d d. + X+0d@ddd@,FPd- V.  WK +dd. + X+ddPehe[@LFd- 0W.  WK +e e. + X+0e@efe@lFPeeV@Fe@ FfP`@ F0f@ F@Xfb@0 G@f(Y@@ ,G@-p pV. +p WK p ff. p X+ff@gXgb@p LG@f- pV. WK + gg. + X+ g0ggg@ lG@g- V. WK + gg. + X+gg@hXhW@ Gg- 0W. WK + hh. + X+ h0hih@ G@hhpV@ Ghxa@ Gh@c@ H i_@ ,H@Hihd@ LH@i@ +lH@-0 +pV. +0 + WK 0 +pii. 0 + X+ii0jHjh@0 +H@i- @ +pV. @ + WK +@ +ij. +@ + X+j jjj8]@@ +H0j- P +V. P + WK +P +pjj. +P + X+jj0kHk@P +Hj- ` +0W. ` + WK +` +jk. +` + X+k klpk@` +H0kk@p + Ik@ +,Ik0f@ +LIlh@ +lI@8lY@ +I@la@ +I@- +pV. + + WK +`lpl. + X+ll m8m@ +I@l- pV. WK + ll. + X+mmmm@ I m-  V.  WK + `mpm. + X+mm n8nHZ@ Jm- 0W. WK + mm. + X+nn o`nd@ ,J n +n_@0 LJne@@ lJn i@P Jo@` J@(oY@p J@o@ J@- pV. + WK Po`o. X+poop(p(o@ K@o- pV. WK + oo. + X+op"pp]@ ,Kp - V. WK + Pp`p. + X+ppp'q(q\@ LKp%- 0W. WK + pp. + X+pq,rPq@ lKq*xqXf@ K/qXg@ K1ql@ K3q@ K@5rHj@0 L@7r\@@ ,L@9-p pV. +p WK p @rPr. p X+`rpr=ss@p LL@r;- pV. WK + rr. + X+rrBss_@ lLs@- V. WK + @sPs. + X+`spsGtthc@ LsE- 0W. WK + ss. + X+ssLpu@t@ LtJhtl@ LOt@ LQto@ MSt@ ,M@Uu(`@ LM@Wug@ +lM@Y-0 +pV. +0 + WK 0 +0u@u. 0 + X+Pu`u]uv@0 +M@pu[- @ +pV. @ + WK +@ +uu. +@ + X+uubpvve@@ +Mu`- P +V. P + WK +P +0v@v. +P + X+Pv`vgvwhe@P +Mpve- ` +0W. ` + WK +` +vv. +` + X+vvl`x0wj@` +MvjXwc@p + Now@ +,Nqwq@ +LNsw(X@ +lN@uwc@ +N@wxxu@ +N@y- +pV. + + WK + x0x. + X+@xPx}xxxb@ +N@`x{- pV.  WK +xx. + X+xx`yxy(q@Nx- V.  WK + y0y. + X+@yPyyy8n@ O`y- 0W.  WK + yy. +  X+yyP{ z0w@ ,OyHz@t@0LOpzp@@lOz8m@POz@`O@zXh@pO@h{s@O@-pV. + WK { {.  X+0{@{{{@ P@P{- pV.  WK +{{. + X+{{P|h|Pq@,P{- V.  WK +| |. + X+0|@|||z@LPP|- 0W.  WK +||. + X+||@~}b@lP|8}y@P`}`n@P}`@P}^@ P@}Hk@0 Q@X~{@@,Q@-ppV. +p WK p~~. p X+ ~0~~~\@pLQ@@~- pV.  WK +~~. + X+~~@Xk@lQ~- V.  WK +. + X+ 0h@Q@- 0W.  WK +. + X+0v@Q(f@QPxx@QxX~@ Rh{@,R@Ȁxy@LR@HHi@lR@-0pV. +0 WK 0. 0 X+ ȁ|@0R@0- @pV. @ WK +@p. +@ X+0Hq@@R- PV. P WK +P. +P X+ Ȃn@PR0- `0W. ` WK +`p. +` X+ i@`Rt@p S@v@,Shs@LSm@lS@x@S@88l@S@-pV. + WK .  X+e@S@ - pV.  WK +`p. + X+ 8ht@S- V.  WK +. + X+xq@ T - 0W.  WK + `p. +  X+ k@ ,T +\@0LT0z@@lTX@PT]@`T@t@pT@(o@T@-pV. + WK І.  X+@ U@- pV.  WK +P`. + X+p"(@,U - V.  WK +Ї. + X+'w@LU%- 0W.  WK +P`. + X+p,ЈH@lU*@U/ }@U1H8@U3px`@ U@5w@0 V@7r@@,V@9-pV. WKЉ. + X+@@@,A Xh@ A @0 B @@,B . Њ. X+؊= ڶ8@;0@`p- +`}8`C`-`V.` WK`-!`V.#` K"`ȋ؋4`(Y+@H@h-\}0GX-(Y@،-_}KȌ-(Y@H-a}O8p-(Y@-d}S-(Y`@(-g}WP-(YЎ@ - j}` [- (Y@@0-0m}Ў0_0-0(Y@@x-@p}@@ch-@(Y @P-Ps}Pg؏-P(Y@`X-`v} `kH-`(Y@pȐ-pxy}po-p(Yp@8-h|}s(`-(Y@-X}pwБ-(YP@-H}{@-(Y@-8}Px-(Y0@-(} -(Y@h-\}0X-Y@ؓ-_}ȓ-Y@H-xb}8p-Y@-he}-Y`@ (- Xh} P- YЕ@0-0Hk}`0-0Y@@@-@8n}Е@0-@Y@Px-P(q}@Ph-PY @`-`t}`ؖ-`Y@pX-pw} pH-pY@ȗ-y}-Yp@8-|}(`-Y@-}pИ-YP@-Ȃ}@-Y@-}Px-Y0@-} -Y@h-[}0X-(X@ؚ-^}Ț-(X@H-xa}8p-(X@ - hd} - (X`@0(-0Xg}0P-0(XМ@@-@Hj}`@-@(X@@P-P8m}МP0-P(X@`x-`(p}@`h-`(X @p-ps}p؝-p(X@X-v} H-(X@Ȟ-x}-(Xp@8-{}(`-(X@-~}pП-(XP@-ȁ}@-(X@-}Px-(X@-} -(X--[-)^-6`-Cc- f-i-#l-0o-=r- u- xx-% h{-3 X~- +0H-08-&0(m0 0m +0@m6 Pm( `m pm Сm@m3m&mm ТmFpm9`m,Pm@m0 - @@@p.Pw-PX}PP-P[(H.` w-`X}P`8p-`^Ф.pw-pX}Pp-p`8X.0w-X}PH-c.w-X}PХ-fHh.@w(-X}PX-i(Ц.Ȧw-X}P-lXx.Pw8-X}Ph-o8.اw-X}P(-rh.` wH-X}Px-uH. +wШ-X}P8- xxЩx.p wX-X}P- h{X . w-X}PH- X~.  +wh- X}P Ъ- Hh0.0w-0X}P0 X- 08.@wx-@X}P@- @(-PX}PPH-PpV2P8 .Px1Pj0P@P0@- +\} + -Y@h- +_}0X-Y@ح- +b}ȭ-Y@H- +e}8p-Y@- +h}-Y`@ (- + pk} P- YЯ@0- +0`n}`0"-0Y@@@- +@Pq}Я@&0-@Y@Px- +P@t}@P*h-PY @`- +`0w}`.ذ-`Y@pX-p z} p2H-pY@ȱ-}}6-Yp@8-}:(`-Y@-}p>в-YP@-}B@-Y@-Ј}PFx-Y@-\}J-Y-& Z=0Y @-2\->.AO@p-PY.TȴhGh)P`д0@8-_}N(-Y-& Z=pY`-2_->.A O@-PY.TȴhGеh)`@h-b}0RXH-Y-& Z=Y-2b->.AO@-PY.TȴhG h)ж0@-e}`Vx-Y-& Z=зY-2e->.A0O@ -PY.TȴhG0@Ph)`@ ȸ- h} Z- Y-&  Z= Y -2 h-> .A O@ @P-P Y.T ȴhG `ph)  0@0-0k}0^غ-0Y-&0 Z=00Y0 @-20k->0.A0@O@0p-P0Y.T0ȴhG0h)0P` @@(-@n}@b-@Y-&@ Z=@`Y@Pp-2@n->@.A@ȦO@@-P@Y.T@ȴhG@лh)@P@PX-Pxq} PfH8-PY-&P Z=PYP-2Pxq->P.APPO@Pм-PPY.TPȴhGPh)P @`-`ht}P`jxh-`Y-&` Z=`Y`н-2`ht->`.A`اO@`-P`Y.T`ȴhG` 0@h)`P@p-pXw}pn-pY-&p Z=pYp-2pXw->p.Ap`O@p0@-PpY.TpȴhGpP`ph)p @-Hz}rؿ-Y-' Z= Y0-4Hz-@.COB`p-SY.WȴhJh*@P@-8}}v-Y-' Z=PY@`-48}-@.CpOB-SY.WȴhJh*p@@H-(}z8(-Y-' Z=Yp-4(-@.COB-SY.WȴhJh*p@x-}@~hX-Y-' Z=Y-4-@.COB-SY.WȴhJ 0h*@@-}p-Y-' Z=Y-4-@.COB 0-SY.WȴhJ@P`h*p@-}-Y-' Z=Y -4-@.COBP`-SY.WȴhJph*0@@-]}-.O0@-+ Z./ȴh"P`p`@-`}H-. O-+ Z./ȴh"(8(@-b}`-.O-+ Z./ȴh"@ `- e}( P- . 0O -+  Z./ ȴh" @0(-0h}0-0.0O0P`-+0 Z./0ȴh"0p@@-@k}@h-@.@@O@(-+@ Z./@ȴh"@8HXH@P-Pn}P0-P.PȦOP-+P Z./Pȴh"P @`-`q}H`p-`.`PO`-+` Z./`ȴh"`@pH-pt}p8-p.pاOpp-+p Z./pȴh"p@-w}-.`O8H-+ Z./ȴh"Xhxh@-pz}P-.O-- Z.1ȴh$ 0@0@-`}}h-.pO-- Z.1ȴh$@h-P}0X-.O-- Z.1ȴh$@0-@} -.OXh-- Z.1ȴh$x@-0}p-.O 0-- Z.1ȴh$@P`x@- }8-.O-- Z.1ȴh$(--8]-'(`-3c-?f-h-k- n-,q-8t- w- z-" }-/ x- 0h-0X-#0Hm0@Pm 00`m2  pm% m m m;m/m#mm mBm6m*p m`0mP@- @x@`.Pw-PHZ}pP-P8](H.` w-`HZ}p`8p-`(`.pw-pHZ}pp-pcp8.0w-HZ}p(`-f.w-HZ}p-h`(.@w-HZ}pP-k.Ȧwp-HZ}p-nP.Pw-HZ}p@-qp.اw`-HZ}p-t@.`w-HZ}p0-w`.wP-HZ}pp-z0.pw-HZ}p -}Pp.w@-HZ}p`-x . w- HZ}p - h@`.0w0-0HZ}p0P-0X.@w-@HZ}p@-@H0-PHZ}pP X.PȴjPp@p--`]-'P`-3@c-?0f- i-l- o-,q-8t-w-z-"}-/- --#pmm xm2hm%XmHm 8m;(m/m#m(m 8mBHm6Xm*hmxm- P.w-pZ}@-`]p. w`-pZ}-P`@.w-pZ}0-@c`.0wP-pZ}p-0f0.w-pZ} - iPp. @w@- pZ} `- l .0Ȧw-0pZ}0-0o@`.@Pw0-@pZ}@P-@q.Pاw-PpZ}P-Pt0P.``w -`pZ}`@x-`w.pw-ppZ}p-pzx @.pw-pZ}0h-}.w-pZ}-h0.w-pZ} X-.wx-pZ}-X .w-pZ}H-px-pZ}h.ȴj@--]-'x`-3hc-?Xf-Hi-8l- (o-,r-8u- w- z-" }-/ Ȁ- 0-0-#0m0m 0m2 m% m  m 0m;p@m/`Pm#P`m@pm 0mB m6m*mm- @@ @`.Pw0-PZ}PP-P].` w-`Z}`-`x`0P.pw -pZ}p@x-phc.0w-Z}-Xfx @.w-Z}0h-Hi.@w-Z}-8lh0.Ȧw-Z} X-(o.Pwx-Z}-rX .اw-Z}H-ux.`wh-Z}-wH.w-Z}8-zh. pwX- Z} x- }8. w- Z} (- ȀXx. wH- Z} h- (.0 w-0 Z}0 -0 Hh.@ w8-@ Z}@ X-@ -P Z}P .P ȴj@pznȂȀ p(wx_k}pZ0Јtu}8r(hHh|@wȁzn8}f}HPt~Hzw(pX`}q(pkX zhXwzX0WzhXzzYzYz[z[z\z\z]zp^z8^zHp_z(`zX`azxaz`bzhczPdzdzPezfz@gzgz@hziz0jz8jzH0kz(lzX mzxmz nzhozpzpzqzrzszsztzpuzuz8pvzHvz(`xzXxzx`yzyzhP{z{zP|z|z@~z~z@zz0zz80zHz( zXzx zzhzzzzzzH +@@A8AXAxAAAAAB8BXBxBBBBBC8CXCxCCCCCD8DXDxDDDDDE8EXExEEEEEF8FXFxFFFFFG8GXGxGGGGGH8HXHxHHHHHI8IXIxIIIIIJ8JXJxJJJJJK8KXKxKKKKKL8LXLxLLLLLM8MXMxMMMMMN8NXNxNNNNNO8OXOxOOOOOP8PXPxPPPPPQ8QXQxQQQQQR8RXRxRRRRRS8SXSxSSSSST8TXTxTTTTTU8UXUxUUUUUV;VckìȽѴ)7: 89ppp|ޫꏈFDDDDDDDDDDDDDDDDDDDDDDDT @`!XHZ@pZhZ`W@W@(XAX8A(YXAYxAYAYA ZAHZApZBZ8B[XB[xB\B\B\B\B]C8]8C`]XC]xC^C^C_C_C_D_8D`XD(`xDP`Dx`D`DxaDaExb8EbXEbxEbEcE@cEhcEcFhd8FdXFhexFeFeFeFfF0fGXf8GfXGXgxGgGXhGhGhGhHh8H iXHHixHiHHjHjHHkHpkIk8IkXIkxIlI8lIlI8mImJ8n8J`nXJnxJnJnJoJ(oJoK(p8KpXK(qxKPqKxqKqKqKqLr8LrXLsxLsLtL@tLhtLtMt8MtXMuxMuMvMvMwM0wNXw8NwXNwxNwNwNxxNxNxyOy8O zXOHzxOpzOzOzOzOh{P{8Ph|XP|xP}P8}P`}P}P}Q}8QX~XQ~xQXQQQ(QPRx8RXRȀxRHRȁRHRȂRS8S@XShxSSS8SS8T8TXTxT0TXTTT(U8U(XUxUЈUU UHUpV8Vxhӵљ՗Ƒͫ9dajDk`XGiqhF1S onbE([>R|11G+D# +`N"K%P(70hoobxpp]Z E NNoN`O'H%@oh eJ(M#G"PlqgH?]a` +l;H!Lce V%L!| gH&E2]/Dkl1]4VwVxW4P# +ajKjjGKQBBcBlC+D)LcdiF$A/K.\`}kD3Qml`7D-@oiZ)@-pkD*I>Q#Hgk)_>LmLbM%A-ufGffKLKPPqP~Q9V;^qv{T6S=Ygpu}} +h7T;U8Y!~`iG11`X;,0P29A@0@@@?S;S_;tpHIi@@I!! !( @`@|@ȇ@` BB\J@۴ƭ@B @<ث΢̠˔- 0Bݮڅ- + XBϠΨ-0Bߺ- +08C޻-0C§߫-0C. A. + A+xAA ٫.A0B@AAABXB@ @@ +B@ @@ .0 B. +0 A+BB C8C@0 A@B.@ C. @ A+BCCC@@,A@ C.P xC. +P A+`CCDC@ +`DAJ@D@ +`\A@. A. A+CD!0EܮxD@4DDܮD@lDD$ܮDC@DD&ܮXE0B@ DD(. A. A+E E,HFܮE8C@LE0E*ܮEB@E0E/ܮFXB@E0E1ܮpFB@ E0E3. A. A+(F8F7F(۩FC@dFHF5. A. A+@FF>(G ٫PGE@FF:.  C.  A+GGC@HܹȗGXE@ DG(GAܹȗGF@ |G(GFܹȗGE@ G(GHܹȗhHF@( G(GJ.0 xC.0 A+ H0HNXIɧӌH@0\H@HLɧӌH@0H@HQɧӌI@D@ 0H@HSɧӌIxD@(0I@HU.P xC.P A+@8IHI[JߺIH@ +PtIXIWߺJI@PIXI^.` xC.` A+II@J@PDACHK@J.  A3 +@DhJ}@JbxJ0K-B.AOJJ.%K.1 A3/BKh#JJKPL@K.  A3 +@DpK}HKfK8L-B.KOKK.* A3(BL./Kh#KL(LHM@L.  A3 +xDxL}PLjL0M-B.AOLL.%K.1 xC3/BMh#LLMPN@M.  A3 +xDpM}HMnM8N-B.KOMM.* N3(BM./Kh#MN(NXO@0N. 0 A3 +0DxN}PN0rN@O-0B.0AO0NN.%0K.10 O3/0BOh#0NN(O`P@@O. @ A3 +@DO}XO@vOHP-@B.@KO@OO.*@ P3(@BP./@Kh#@O P8PhQ@`P. ` A3 +`DP}`P`zPPQ-`B.`AO`PP.%`K.1` 0Q3/`BQh#`PQ8Q`R@pQ. p A3 +pDQ}hQp~QHR-pB.pKOpQQ.*p C3(pBR./pKh#pR R8RhS@R.  A3 +XER}`RRPS-B.AORR.%K.1 0S3/BSh#RS8SpT@S.  A3 +XES}hSSXT-B.KOSS.* (T 3(BT./Kh#T0THTxU@T.  A3 +ET}pTT`U-B.AOTT.%K.2 @U +3/B(Uh#UUHUV@U.  A3 +EU}xUUhV-B.KOUV.+ 8V 3(B V.0Kh#V@VXVW@V.  A3 +EV}VVpW-B.AOVW.%K.2 PW 3/B8Wh#W(WXWX@W.  A3 +EW}WWxX-B.KOXX.+ HX +3(B0X.0Kh# XPXhXY@ X.  A3 + FX}X XY- B. AO YY.% K.2  `Y3/ BHYh# (Y8YhYZ@0Y. 0 A3 +0FY}Y0YxZ-0B.0KO0Z Z.+0 B3(0B@Z.00Kh#00ZPZhZHĦۄ-`BwZ ٫]I@#`ZHZ0pp([ٸԽ- p8CpH[- @Dph[- xDp([- Dp`[- Dp[- XEpЄ[- Ep\- Ep@(\- FpxH\-pF-00Bk0X\-@XB-@F~]@\\\].@ Ai@\\.@A-`C-`F~x]`]X]h].` Ai`H]]- `pF]`\]]@Xx\\x]j0h\]]@0]^@H^. A3 PG ^}]0^^. A38Cp^- @D.%AO#^^Y^^_@(_. A3 PG_}^__. A38CP_- xD.%AO#x__Y`__`@`. xC3 PG_}__`. xC38C0`- D.%AO#X`h`Y@`x`xa@`. N3 PG`}``ha. N38Ca- D.%AO#8aHaY aXaXb@a. O3 PGa}xaaHb. O38Ca- XE.%AO#b(bYb8b8c@ b.  P3 PGb}Xb b(c.  P3 8Cb- E.% AO# bcY bcd@0c.0 0Q3 0PG`c}8c0pcd.0 0Q308Cc- 0E.%0AO#0ccY0ccd@@hd.@ C3 @PG@d}d@Pdd.@ C3@8Cd- @F.%@AO#@ddY@dde@`He.` A3 `G e}d`0ee.` A3`8Cpe- `@D.%`eO#`eeY`eef@p8f.p A3 pGf}ep ff.p A3p8C`f- pxD.%peO#pffYppffg@g. xC3 Gf}fgg. xC38C@g- D.%eO#hgxgYPggh@g. N3 Gg}ggxh. N38C h- D.%eO#HhXhY0hhhhi@h. O3 Gh}hhXi. O38Ci- XE.%eO#(i8iYiHiHj@i. P3 Gi}hii8j. P38Ci- E.%eO#jjYi(j(k@j. 0Q3 Gpj}Hjjk. 0Q38Cj- E.%eO#jjYjkl@xk. C3 GPk}(k`kk. C38Ck- F.%eO#kkYkkl@Xl. A3 G0l}l@ll. A38Cl- @D.%KO#llYllm@8m. A3 Gm}l mm. A38C`m- xD.%KO#mmYpmmn@n. xC3 Gm}mnn. xC38C@n- D.%KO#hnxnYPnno@ n.  N3 Gn}n nxo.  N3 8C o- D.% KO# HoXoY 0ohohp@0o.0 O3 0Go}o0oXp.0 O308Cp- 0XE.%0KO#0(p8pY0pHpHq@@p.@ P3 @Gp}hp@p8q.@ P3@8Cp- @E.%@KO#@qqY@p(q(r@Pq.P 0Q3 PGpq}HqPqr.P 0Q3P8Cq- PE.%PKO#PqqYPqrs@`xr.` C3 `GPr}(r``rr.` C3`8Cr- `F.%`KO#`rrY`rrs@Xs. A3 G0s}s +@ss. A38Cs- @D.%sO#ssYsst@Ht. A3 G t}s0tt. A38Cpt- xD.%sO#ttYttu@(u. xC3 Gu}tuu. xC38CPu- D.%sO#xuuY`uuv@v. N3 Gu}uuv. N38C0v- D.%sO#XvhvY@vxvxw@v. O3 Gv}vvhw. O38Cw- XE.%sO#8wHwY wXwXx@w. P3 Gw}xwwHx. P38Cw- E.%sO#x(xYx8x8y@x. 0Q3 Gx}Xx"x(y. 0Q38Cx- E.%sO#xyYxy@z@y. C3 G`y}8y&pyz. C38Cy- F.%sO#yyYyy8էͿ8{PG@4z\*p z΢- 0Bp0 z߬- 0XBp@Xz- +@PGpPzʤ- +PhHp`Ȇ{. `KͿ|G@,{p0px{- 0Bp {- XBpX{- +Gp{- +HpȆ{. KͿ|G@ |6p X|- 0Bp0 x|- 0 XBp@ X|- +@ GpP |- +P Hp` Ȇ|. ` KͿG@ |<p 8}- 0Bp X}- XBp Xx}- + Gp }- + Ip Ȇ}. K-` +F-` +hH-#` +Hm` +}}m` +}}. p + A3 +p +F~-p +I}~p +@~x~-p +H- + +I}~ +~~- +hHp +(~P~~j` +~~؁@` +~B- +F- +hH-# +H-- +H-7 +Im0 +Xhm& +Hxm +8m +(- +F؁ +`(. +Aw- + +I} +P- +hH؀. +ewp- + +I} +Ȁ- +HP. +Kw- + +I} +@- +Hp. sw`- + I} - Ij +@ +D@@ 0- @ C} @ F -@ B.@ AO@ Xh-$@ hH-1@ B.4@ eO3@ -?@ I-F@ Ih:@ Ȃ؂h@ x,IDD@z8{||pFhHHZ#`ZpZp[ [4D 4DlD lDD PDD DLE LEE EE 0EE hEF Fz؅z z z0z Hz@,A ,APz z`\A \AzZ +'@@A0AHAkA[8[X[x[[[[[\;\]pzzzz {h{{{{{H|h||||(}H}h}}}~ˁìȽѴ)7(:,8094,W^{իxDDDDDDDDTEDDDDDDDDDDDDDDDTUUU @`!CJ0B@XB@BA8C0ACHAChA]]{,{2|8}>~؁ȁӵԝݕѓ楣sj>X cR=Zt7Hz($+incM|O{U6Y==q3cX;DXl ;;`XX5;;3cXl(x(D((@((P !uD$PE$0@@ ((#@ @C$ @@@!p| A@!H 0A@! )Olx@Add%)@`%)@8 %@ 0#(@`@NDiVDV,JND BXFᐐNDi] ډ^UE Ӄ UUElH u H A E A A ы !ˋ  Љ ы !ˉы !ʉ^UE Ӄ UUElH u H A   щ!ʉ Љ ы !ˉы !ʉ^UE Ӄ UUElH u H A  щ!ʉ Љ ы !ˉы !ʉ^UE Ӄ "UUElH u H A \UE Ӂ UUElH u H A NDiVDV,JND BXFᐐNDi] ډ^U E Ӄ UU ElH u H A (]C S ы !ˉ Љ ы !ˉы !ʉ^U E Ӄ UU ElH u H A YU E Ӄ iUU ElH u H A 2NDA NDA NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND#@`(  @$@@,@4@(AAӵҜޔ֒ƍ餠߭iWhjDk3cXAown@7U +ihdC3A(w|1|R| +f n@-oheKzI}S%V""kE2]/Dj C,B/N6ik]8V5VxV L#Dj)aS1dWoZ<~y tZkXlB1X??vX/@2Ywb=^1_2S+tv@%K(KeK=Q>Yw4|N,yJrG!c +diGvEq_sxy T7X*O<dY:U;V7O`z8J>R +~zU'R<}it0S7Djo +, +# o xV:S111T\ +K87pr8J?[2tuX;T&C0h +U6Y7Z;Clv4F2^rvY+^0qe +xZ?Mql zU"@|}q &T Lcpkh!  !  } eJR=Zt7Hz($+incM|O{U6Y==q3cXݚ;DXl ܚ;ܚ;`XX5ݚ;ݚ;3cXl*h*D**0**P @$PA$0@@ ǺQ(R(#@ @?$ @@@!p| A@!H 0A@! h-)Olx@Add%)@`%)@8 %@ 0#Q(@`@NDiVDV,JND BXFᐐNDi] ډ^UE Ӄ UUElH u H A E A A ы !ˋ  Љ ы !ˉы !ʉ^UE Ӄ UUElH u H A   щ!ʉ Љ ы !ˉы !ʉ^UE Ӄ UUElH u H A  щ!ʉ Љ ы !ˉы !ʉ^UE Ӄ "UUElH u H A \UE Ӂ UUElH u H A NDiVDV,JND BXFᐐNDi] ډ^U E Ӄ UU ElH u H A (]C S ы !ˉ Љ ы !ˉы !ʉ^U E Ӄ UU ElH u H A YU E Ӄ iUU ElH u H A 2NDA NDA NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND#@`Q(  @$@@,@4@AQ(Aӵπ➟ҘږŽ㭠ۡ~:h;jDk3cXMc{ bL;Ye +d hO.\>]s]+G(Oa "N'IE ] ډUU]`ЈM 艆 ы !ʉUE Ӄ UU]`ЈE  A A   ҋ ӋU$ !ى ы !ˋ ډ# Ӌ щ!ʉ  ы !ʉKUE Ӂ $UU]`Јz  ы !ʉKUE Ӂ UU]`Ј  ы !ʉKUE Ӂ *UU]`Ј  ы !ʉKUE Ӂ UU]`Ј ы !ʉ>E ] ډ>UU]`Ј 艆 ы !ʉHUE Ӄ UU]`Ј!  ы !ʉxUE Ӄ QUU]`ЈE  A A   ҋ ӋU$ !ى ы !ˋ ډ# Ӌ щ!ʉR  ы !ʉKUE Ӂ UU]`ЈL  ы !ʉKUE Ӂ yUU]`Ј  ы !ʉKUE Ӂ UU]`ЈR ы !ʉ>E ] ډUU]`Ј + 艆 ы !ʉHUE Ӄ UU]`Јp +  ы !ʉHUE Ӄ UU]`Ј  ы !ʉMUE Ӄ &UU]`Ј| E  A A   ҋ ӋU$ !ى ы !ˋ ډ# Ӌ щ!ʉ'  ы !ʉKUE Ӂ UU]`Ј!  ы !ʉKUE Ӂ NUU]`Ј + ы !ʉ>E ] ډUU]`Ј: + 艆 ы !ʉHUE Ӄ lUU]`Ј  ы !ʉHUE Ӄ UU]`ЈH  ы !ʉHUE Ӄ xUU]`Ј  ы !ʉ%UE Ӂ UU]`ЈQE  A A   ҋ ӋU$ !ى ы !ˋ ډ# Ӌ щ!ʉ  ы !ʉKUE Ӂ UU]`Ј ы !ʉ>E ] ډ1UU]`Ј 艆 ы !ʉHUE Ӄ UU]`Ј  ы !ʉHUE Ӄ DUU]`Ј  ы !ʉHUE Ӄ UU]`Ј   ы !ʉKUE Ӂ MUU]`Ј  ы !ʉUE Ӂ UU]`Ј&E  A A   ҋ ӋU$ !ى ы !ˋ ډ# Ӌ щ!ʉ ы !ʉ>E ] ډUU]`Ј 艆 ы !ʉHUE Ӄ UU]`Јf  ы !ʉHUE Ӄ UU]`Ј  ы !ʉHUE Ӄ UU]`Јr  ы !ʉKUE Ӂ UU]`Ј  ы !ʉKUE Ӂ "UU]`Јx  ы !ʉUE Ӂ UU]`ЈNDFiy,F,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ډEGE H u H A UdONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ M$;N,S?[ F, H ND X FᐐNDFiyDF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ډEGE H u H A UdONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]ڃUNDAF,H ND@ M<;N,S?[ F, H ND X Fᐐ#@`V(  @@@@l@l@@A{C{{{{|#|||||}ˁìȽѴ)7:89 8@88(WzիU""""""""""""""""""""""""3!@!CpI0B@XB@BA8C0ACHAChA0] ]pz#P{)0|/}5؁ȁӵπ➟ҘږŐ쵷̩$0g3jDk`XEksjD3Qml`G&T6U{U#O Gi*F/A4LbS`Tz  eps9z>m!h** *+C,A$ y h.L)G#F4kgp,[9fjip_,E(um2A(Ejm,B!V9K l{U9P223P4Gil/#k/c5t&&&'O M(ud +"@%K/J8gk| W5j fe|S I$ y +a>M$Ifa N-Z5G,kcM;Z( ()A%Igp#/f(k/f4445]2_:gv0R7Y=X*uy n2E'xtwnA3G+re `hIhFhGiGhFhGiGhn-[>L%I&A`AA6T hieB#Q3kkEjmeJ8M)@o +hbu*I&T1Bmx'D+E(I1lt[-H:S?P77767767E1]razyi T7X6[:Byz}kE33`Xg;,0P18@0@000>N};N};t2`@`@Ì!!3!!r @`@|@LrXr@ ACpGMr(r۴ƭ@(rHA r @8է΢-HA߬-pAߺ-!Aʤ-&`B§߫-,BpA@ 0@@A@ @@@.P A. P A+AA HB`B@P@@A.` 0B. +` A+B8BCBHA@`AHB C@ +p,A@. 0B. + A+BBɻڴ.CxCA@BBxCBxCɻڴ.pCC`B@LCXCCBCɻڴ.C8DpA@CC8DB8Dɻڴ. 0DD@  DD"DBDɻڴ.0DDB@0lDxD&DBDɻڴ.@DXEC@@DD*XEBXEɻڴ.PPEExC@P,E8E.EBEɻڴ.`E0FC@`EE2BF. 0B. A+@EE:ܨɽhFE@$FF6,ġ٭FD@\FF=H@@F- @`B}F @AFF-@0F-`HA-!`pAk`Gm`@G Gk`G-ppA-p0F~PHp`GGGGG.p GipGpG-pC- 0F~PHG0H@HI. Gi HG-hFHp>H$K,oA-D*_' 8 ?g``mwRUJAA`Ao@(G*O`gjE'B,H-_c ~hG0R +noc4G.CljY*C.shG)J=R Kdc>R;YxYwX;_,neDeeHD^MMlMcL$K&ClkfI+N D!S ordK<^b +co8K"O`f +U&O" + +dK%F1^,Ghd&P1CbCmB*N" ziHiiD +CD +__~_q^6Y4Q~ yt[9\2V3A}`vY.Lpq}*X,@o|g d"-,,-,,qiF0U'N"M* **]?`lov)M(N'I,_q)nl &T!E,ajkF%J8].vK(G)D%]rt7A$V?S<[[u[tZt[u[tZt[)]1h +zrrg8[4Z7V.qeK==`X;,0P07?@0@@@=Q;Q;tX@h)@!0! ! @`@|@$0@FFpY0۴ƭ@0Fԕ @4áţ̠˔- XGݮڅ- + G0܃ɭ̸ن- PH0܃ɭ̸ن-PH0܃ɨ̨څ-&PI,Ü֥-2PI(נŚ-=PI,Üֵ̯-IPI,Ü֥ѳ셅- `J,ÜַԿ-`0J,Üֳ샃-#`XJ,Ü֤Щ-/`J0ЏšՊ- K0ЏšՊ-K0ЏŤ։-&L,ϐک̠-2L(Α۬ɖ-=L,ϐڹ-IL,ϐکݿ- L,ϐڻس셅- M,ϐڿͿ-#HM,ϐڨܥ-/pṂͫ-Ṃͫ- +@Ṇͫ-Ṇͫ-Ọͫ-"`Ọͫ-)Ọͫ-0 P̣ͫ-7P̣ͫ-P̣ͫ- +@Q̪͢-Q̪͢-R̪͢-%`R̪͢--R̪͢-5 S̪͢-=S. p E. +p E+EE ۽.pFhF@pEEhFEhF Ʊ. `F F@ DFHF +FFh ô. `F(G@ FF(G(G Ѧ-hF. GMFFXG@ FGGG@ @@H@@@-@hF. +@ GK @GG. @ E+GGxHH@@ A@G- PhF. P GK +P8HHH. +P E+XHhH!HI@P,AxH-`F. +` GK `HH. ` E+HH&xII@`LA@H$-p(G. +p GK p8IHI. p E+XIhI+JI@plA@xI)I@A@.J@A@00J@A@2XJH@A4J@ B6K@,B8-hF. + GK JJ.  E+JJ<hKKJ@LB@J:- hF.  GK +(K8K. + E+HKXKAKL@lBhK?- F.  GK + KK. +  E+KKFhLL@ BKD- 0(G. 0 GK +0(L8L. +0 E+HLXLKML0J@0BhLIL@@BNL@PBP M@` CRHMK@p,C@TpML@LC@VM@lC@X.  M.  E+MM(N@NI@ C SZ.  M.  E+NNNN@ C Sa.  M.  E+hNxNNO@ C Se.  M.  E+NNHO`O@$ C Si.  M.  E+(O8OOO@+  D Sm.  M.  E+OOP P@2 ,D Sq.  M.  E+OOhPPI@0LD Tu. 0 M. 0 E+HPXPPPL@0lD T|. @ M. @ E+PP(Q@Q@0D T. P M. P E+QQQQ@$0D T. ` M. ` E+hQxQQR@Q@,0D T. p M. p E+QQHR`RL@40D T.  M.  E+(R8RRR@@ E V.  M.  E+RRS SI@@,E V.  M.  E+RRhSS@ @LE V.  M.  E+HSXSSS(G@(@lE V.  M.  E+@SS^TT@C M0T@C (NXT@C NT@C NT@ D HOU@,D O.0 M.0 E+@TTyV0U@ LD PXU@0lD hPU@@D PU@PD (QU@`D Q0V@pD Q.@ M.@ E+@UV(WXV@ E HRV@,E RV@LE SV@lE hSܺPW@ pV. M. E+@WWX ۽W@DW(Wܺ猌WL@ +|WݻWR@ W0Z@ X- V}WX0Y-I-&JYHXXX-8F.: XK9xXX-=F.? GK>XX45IX+XXp\-KFOCXYY(hX Y-XGk@Y-W~0Zȗ`YYZZ.  Gi +YpY-V-IYYY-'W="YY YZjPYpY [@pY-XGkPZ-W~ [ ̗pZZ[[.  Gi ZZ-V-W=ZYZZj`ZZ]@Z-XG-&Gk P[m[`[k@[-G-M~][[\\. Gi[[.\- W.  X.  X\4 Ip\+0\@\X].! \O `\\Y +  \\-. M~p]1 \]H]`^.4  Gi3 ]\.C  M.E  E4@ HX]+(]8]_] \\]З[[p]jp[]``@]-@XG-&@Gk @^m@0^^k@]-PG-P@N~(`PP^^^h_.P GiP^`^.P\-pW.p X.p X\4pI_+^^_.!p0_Op^_Y +p^8_-.p@N~`1pX___`.4p Gi3p_h_.Cp M.Ep E4@pH_+__a(`pH_h_``Pԗ@^`^`j@ ^(`b@@(`-XG-&Gk `m``k`-G-N~b`0a@aa. Gi a`.\-W. X. X\4Ia+`apaxb.!aOaaY +Paa-.N~b1a8bhbc.4 Gi3(ba.C M.E E4@Hxb+HbXb dbaabؗ``bj`be@b-XG-&Gk  cmPc0ckc-G-O~Hepcccd. Gicc.\- W. X. X\4 I d+cde.! PdO d8dY + cXd-. O~ e1 xdddf.4 Gi3 dd.C M.E E4@ He+ddfHe hddeܗ`cc ej@cHeh@He-0 XG-&0 Gk 0 em0 eek0 e-@ G-@ `O~g@ fPf`fg.@ Gi@ @ff.@ \-` W.` X.` X\4` If+ffg.!` fO` ffY +` pff-.` `O~g1` gXggh.4` Gi3` Hgg.C` M.E` E4@` Hg+hgxg@ig` fgh@ efgj0 egj@0 g- XG-& Gk @hm phPhk 0h- G- O~hj hhhi. Gi hh. \- W. X. X\4 I@i+i i(j.! piO 0iXiY + ixi-. O~@j1 iij 0k.4 Gi3 ii.C M.E E4@ H(j+ijkhj iij hh@jj `hhj0m@ hj- XG-& Gk jm kjk j- G- P~l kpkk +8l. Gi `k0k. \- +W. + X. + X\4 +Ik+kkl.! +lO +kkY + +kl-. + P~l1 +(lxllm.4 + Gi3 +hl8l.C + M.E + E4@ +Hl+ll`nl +l8l0m k0klj jlo@ l - +XG-& +Gk +`mm +mpmk +Pm-0 +G-0 +P~o0 +mnnn.0 + Gi0 +mm.0 +\-P +W.P + X.P + X\4P +I`n+0n@nHo.!P +nOP +PnxnY +P + nn-.P +P~`o1P +no8o!Pp.4P + Gi3P +nn.CP + M.EP + E4@P +HHo+o(opoP +nno0 +mm`oj +moPr@ +o-p +XG-&p +Gk p +omp + ppkp +o- +G- +P~r +@ppp%Xq. + Gi +pPp. +\- +W. + X. + X\4 +Ip+pp'q.! + qO +pqY + +p(q-. +P~q1 +Hqqq-r.4 + Gi3 +qXq.C + M.E + E4@ +Hq+qq*sr +8qXqPr +0pPpqjp +prt@p +r#- +XG-& +Gk +rm +rrk +pr- +G- +@Q~t +r s0s1s. + Gi +sr. +\- +W. + X. + X\4 +Is+Ps`s3ht.! +s O +pssY + +@ss-. +@Q~t1 +s(tXt9pu.4 + Gi3 +ts.C + M.E + E4@ +Hht+8tHt6vt +sst +rrtj +rtpw@ +t/- XG-& Gk  um @u uk u- G- Q~8w `uuu=xv. Gi upu. \-@ W.@ X.@ X\4@ Iv+uu?v.!@ @v +O@ v(vY +@ uHv-/@ Q~w2@ hvvvEx.5@ Gi4@ vxv.D@ M.F@ E4A@ Hv+vvBx8w@ Xvxvpw Pupuwj 0u8wz@ 8w;-` XG-&` Gk ` wm` wwk` w-p G-p R~yp w@xPxIy.p Gip 0xx.p \- W. X. X\4 Ix+pxxKy.! x O xxY + `xx-/ R~y2 xHyxyQz.5 Gi4 8yy.D M.F E4A Hy+XyhyN0{y xyzp wxyj` wy|@` yG- XG-& Gk 0zm `z@zk z- G- `R~X| zzzU{. Gi zz. \- W. X. X\4 I0{+{{W|.! `{ O {H{Y + zh{-/ `R~0|2 {{|] }.5 Gi4 {{.D M.F E4A H|+{{Z}X| x{{| pzz0|j PzX| @ X|S- XG-& Gk |m ||k |- G- R~~ }`}p}a(~. Gi P} }. \-0 W.0 X.0 X\40 I}+}}c~.!0 } +O0 }}Y +0 }}-/0 R~~20 ~h~~i.50 Gi40 X~(~.D0 M.F0 E4A0 H~+x~~fP~0 ~(~  } }~j |~@ ~_-P XG-&P Gk P PmP `kP @-` G-` S~x` m.` Gi` .` \- W. X. X\4 IP+ 0o8.! O @hY + -/ S~P2 (u@.5 Gi4 .D M.F E4A H8+rx ` PjP px0@P xk- XG-& Gk m k Ё- G- S~ 0y8. Gi p@. \- W. X. X\4 I+{.! FO ЂY + -/ S~Ѓ2 (x.5 Gi4 h8.D M.F E4A H+~0 80 @Ѓj @ w- +XGk +P- + +V= +p- +PW~h +. + Gi +Є. +\.0 + X.0 + X\40 +I0+Xh0 + h.@ +\wx-@ +PW~H@ +H.@ + Gi@ +؅-@ +M(H.P +0_w-P +PW~HP +8.P + GiP +xH-P +@NHȆ.` +aw-` +PW~H` +؆(8.` + Gi` +-` +Nh.p +PdwX-p +PW~Hp +xȇ؇(.p + Gip +-p +O(. +fw- +PW~H +hxȈ. + Gi +X(- +`O(Ȉ. +piw- +PW~H +h. + Gi +Ȉ- +OȉHh. +lw8- +PW~H +X. + Gi +h- + Ph. +nw؉- +PW~H +HX. + Gi +8- +P. + qwx- +PW~H +H. + Gi +؊- +P(H. +sw- +PW~H +8. + Gi +xH- +@QHȋ. +@vw- +PW~H +؋(8. + Gi +- +Qh. +xwX- +PW~H +xȌ،(. + Gi +- +R(.`{w-PW~Hhxȍ. GiX(-`R(ȍ.}w-PW~Hh. Giȍ-RȎHh. w8- PW~H X.  Gi h-  S.0Fw؎-0PW~H0HX.0 Gi08-0S +Hj +`h@ +h0@-L} -I@h-L}0X-I@ؐ-K}Ȑ-Hȑ@H-L}8-V.-.Ihp8@-L}ȑ(-J@p-L}8`-Iؓ@0-0H}0В-0V-"0F.%0 @K#0(2 0H.'01&010-50PW-D0Kh0@@-@0J}ؓ@h-@V-#@W-1@ Mh@8HX(@P-PXJ}P-PV.P-1PHMhP@``-`J}(`P-`V.`-1`pMh`HMIGW MpMFPWXGHKLFhFJVM@NNO`OO PPPQR`RW SSXJzx(GzGzxHzؖHzxIzȖJzhKzKzhLzXzXzX +<@@A8AXAxAAAAAB8BXBxBBBBBC8CXCxCCCCCD8DXDxDDDDDE8EXE{E#Z[]S`bsehj#moCrtcwy|#sXìȽѴ)7:89888<ww{U{U#""(񨪿WUUUEDD @`!MS@NTN0TOXT`OTOT PUP0UPXU@QUQURU`R0VRXV SVSVXG@G@HAH8AIXAIxAIAIAJA0JAXJBJ8BKXBKxBLBLBLBLBLC M8CHMXCpMxCMC@NCNCOC`ODO8D PXDPxDPD@QDQDRD`RER8E SXESxE0Z Z [[]]``P`bbepehhjj0m mooPr@rttpw`wzy|| 0 o8o!Pp.4@ Gi3@ nn.C@ M.E@ E4@@ HHo+o(opo@ nno mm`oj moPr@ o-` XG-&` Gk ` om` ppk` o-p G-p P~rp @ppp%Xq.p Gip pPp.p \- W. X. X\4 Ip+pp'q.!  qO pqY + p(q-. P~q1 Hqqq-r.4 Gi3 qXq.C M.E E4@ Hq+qq*sr 8qXqPrp 0pPpqj` prt@` r#- XG-& Gk rm rrk pr- G- @Q~t r s0s1s. Gi sr. \- W. X. X\4 Is+Ps`s3ht.! s O pssY + @ss-. @Q~t1 s(tXt9pu.4 Gi3 ts.C M.E E4@ Hht+8tHt6vt sst rrtj rtpw@ t/- +XG-& +Gk +um +@u uk +u- +G- +Q~8w +`uuu=xv. + Gi +upu. +\-0 +W.0 + X.0 + X\40 +Iv+uu?v.!0 +@v +O0 +v(vY +0 +uHv-/0 +Q~w20 +hvvvEx.50 + Gi40 +vxv.D0 + M.F0 + E4A0 +Hv+vvBx8w0 +Xvxvpw +Pupuwj +0u8wz@ +8w;-P +XG-&P +Gk P +wmP +wwkP +w-` +G-` +R~y` +w@xPxIy.` + Gi` +0xx.` +\- +W. + X. + X\4 +Ix+pxxKy.! +x O +xxY + +`xx-/ +R~y2 +xHyxyQz.5 + Gi4 +8yy.D + M.F + E4A +Hy+XyhyN0{y +xyz` +wxyjP +wy|@P +yG- +XG-& +Gk +0zm +`z@zk + z- +G- +`R~X| +zzzU{. + Gi +zz. +\- +W. + X. + X\4 +I0{+{{W|.! +`{ O + {H{Y + +zh{-/ +`R~0|2 +{{|] }.5 + Gi4 +{{.D + M.F + E4A +H|+{{Z}X| +x{{| +pzz0|j +PzX| @ +X|S- +XG-& +Gk +|m +||k +|- G- R~~ }`}p}a(~. Gi P} }. \- W. X. X\4 I}+}}c~.! } +O }}Y + }}-/ R~~2 ~h~~i.5 Gi4 X~(~.D M.F E4A H~+x~~fP~ ~(~  } }~j +|~@ +~_-@ XG-&@ Gk @ Pm@ `k@ @-P G-P S~xP m.P GiP .P \-p W.p X.p X\4p IP+ 0o8.!p Op @hY +p -/p S~P2p (u@.5p Gi4p .Dp M.Fp E4Ap H8+rxp P Pj@ px0@@ xk- XG-& Gk m k Ё- G- S~ 0y8. Gi p@. \- W. X. X\4 I+{.! FO ЂY + -/ S~Ѓ2 (x.5 Gi4 h8.D M.F E4A H+~0 80 @Ѓj @ w- XGk P- + V= p- PW~h . Gi Є. \. X. X\4 I0+Xh h.0 \wx-0 PW~H0 H.0 Gi0 ؅-0 M(H.@ 0_w-@ PW~H@ 8.@ Gi@ xH-@ @NHȆ.P aw-P PW~HP ؆(8.P GiP -P Nh.` PdwX-` PW~H` xȇ؇(.` Gi` -` O(.p fw-p PW~Hp hxȈ.p Gip X(-p `O(Ȉ. piw- PW~H h. Gi Ȉ- OȉHh. lw8- PW~H X. Gi h- Ph. nw؉- PW~H HX. Gi 8- P.  qwx- PW~H H. Gi ؊- P(H. sw- PW~H 8. Gi xH- @QHȋ. @vw- PW~H ؋(8. Gi - Qh. xwX- PW~H xȌ،(. Gi - R(. `{w- PW~H hxȍ. Gi X(- `R(ȍ. +}w- +PW~H +h. + Gi +ȍ- +RȎHh. +w8- +PW~H +X. + Gi +h- + S. +Fw؎- +PW~H +HX. + Gi +8- +S Hj `h@ h0@ +- +L} + - +I@ +h- +L}0 +X- +I@ +ؐ- +K} +Ȑ- +Hȑ@ +H- +L} +8- +V. +-. +Ih +p8@ +- +L}ȑ +(- +J@p-L}8`-Iؓ@ - H} В- V-" F.%  @K# (2 H.' 1& 1 -5 PW-D Kh @0-00J}ؓ0h-0V-#0W-10 Mh08HX(@@-@XJ}@-@V.@-1@HMh@@P`-PJ}(PP-PV.P-1PpMhPHMIGW MpMFPWXGHKLFhFJVM@NNO`OO PPPQR`RW SSXJzx(GzGzxHzؖHzxIzȖJzhKzKzhLzXzXzX +<@@A8AXAxAAAAAB8BXBxBBBBBC8CXCxCCCCCD8DXDxDDDDDE8EXE{E#Z[]S`bsehj#moCrtcwy|#sXìȽѴ)7:89@<ww{U{U#""(񨪿WUUUEDD3!@!MS@NTN0TOXT`OTOT PUP0UPXU@QUQURU`R0VRXV SVSVXG@G@HAH8AIXAIxAIAIAJA0JAXJBJ8BKXBKxBLBLBLBLBLC M8CHMXCpMxCMC@NCNCOC`ODO8D PXDPxDPD@QDQDRD`RER8E SXESxE0Z Z [[]]``P`bbepehhjj0m mooPr@rttpw`wzy|| 0 ӵЋ뉆˃ÁɁրٌ궯W:ljDk3cX|ΫجϹպܵۮ呑٭Ȼᬂڶپ㛨𓥜Ŭ(3cXm;| 4Hm;m;`X4hpxp (@H@ J@l:7;Ax{xw @Ax|tx@-i9DJDH   $@   ( @    $(,,@0 4 8 <@DH0L@P T X \`dh4l@p t x |8@   <@   @@   @    @   $(,048@< @ D HLPTX\@` d h lptx|@   @   @   @    @   $(,04@8 < @ DHLPTX@\ ` d hlptx|@   @   @   @    @L @Xx08XEy@@hDy@<8Fy@@Dy@@Gy@@xEy@DGy@@XFy@HHy@@8Gy@LIy@@Hy@PJy@@Hy@TxKy@@Iy@XXLy@@Jy@\8My@@Ky@`Ny@@xLy@dNy@@XMy@hOy@@8Ny@lPy@@Oy@pQy@@Oy@txRy@@Py@xXSy@@Qy@|8Ty@@Ry@Uy@@xSy@Uy@@XTy@Vy@@8Uy@Wy@@Vy@Xy@@Vy@xYy@@Wy@ (08 @HPX `hpxP@ (0  $8@H P@ X`h(evx(ev(ev(ev(ev(ev(ev(ev(ev(ev(ev(ev((ev8(evH(evX(evh|v!@ xP|v|v!@ P|v|v!@ P|v|v!@ P|v|v!@ P|v|v!@ P|v(|v!@ 8P|vH|v!@ XP|vh|v!@ xP|v|v!@ P|v|v!@ P|v|v!@ P|v|v!@ P|v|v!@ P|v(|v!@ 8P|vH|v!@ XP|vhPuxPuPuPuPuPuPuPu8 (u8 (u8 (u8 (u8 ((u8 8(u8 H(u8 X(u8 hxbv8 xxbv8 xbv8 xbv8 xbv8 xbv8 xbv8 xbv8 xbv8 xbv8 xbv8 xbv8 (xbv8 8xbv8 Hxbv8 Xxbvh8u@ xu@ u@ u@Gv@ Gv@Hv!@ PHv!@ Hv)v@ )v@)v (`*v<u@ Lu@\u!@ lu!@ |uu@ u@u!@ u!@ u@Gv@ Gv@Hv!@ PHv!@  Hv, )v@ < )v@L )v \ `*vp @Gv@ Gv@ Hv!@ PHv!@ Hv u@ u@ u!@ u!@ +u +)v@ +)v@0 +)v @ +`*vT +u@ d +u@t +u!@ +u!@ +u +@Gv@ +Gv@ +Hv!@ +PHv!@ +Hv +)v@  )v@ )v $ `*v8 @Gv@ H Gv@X Hv!@ h PHv!@ x Hv u@ u@ u!@ u!@ u @Gv@ Gv@ Hv!@  PHv!@  Hv( u@ 8 u@H u!@ X u!@ h ux u@ u@ u!@ u!@ u @Gv@ Gv@ Hv!@ PHv!@  +Hv +u@ ( +u@8 +u!@ H +u!@ X +uh +@Gv@ x +Gv@ +Hv!@ +PHv!@ +Hv +8u@ +u@ + u@ +u +8u@ u@ u@ (u88u@ Hu@X u@ hux8u@ u@ u@ u)v@ )v@)v `*v)v@ )v@)v ,`*vӵ݀➟Ҙږ‹ۉ㽦i jDk3cX|ܳέ¬؇֥јܷӰ߱ܽŚߒ瀮ݥ𖡒𖧉٪ä13cXg;| 4Hg;g;`X4Ps8`s (@C@lQ{zzTz @z|0x@% +;         $(,04@8 < @ DHLPTX@\ ` d hlptx|@   @   @   @    @    $(,0@4 8 < @DHLPT@X \ ` dhlptx@|   @   @   @   @     $(,@0 4 8 <@DHLP@T X \ `dhlpt@x |  @   @   @   @    $(@, 0 4 8<@DHL@P T X \`dhlp@t x | @   @   @   @    $@( , 0 48<@DH@L P T X\`dhl@p t x |@   @   @   @    @$ ( , 048<@D@H L P TX\`dh@l p t x|@   @   @   @    @  $ ( ,048<@@D H L PTX\`d@h l p tx|@   @   @   @    @  $ (,048<@@ D H LPTX\`@d h l ptx|@   @   @   @    @   $(,048@< @ D HLPTX\@` d h lptx|@   @   @   @        @      $ ( , 0 4 @8  <  @  D H L P T X @\  `  d  h l p t x | @         @         @         @      + + + +@ +  +  +  + +$ +( +, +0 +@4 + 8 + < + @ +D +H +L +P +T +@X + \ + ` + d +h +l +p +t +x +@| + + + + + + + + +@ + + + + + + + + +@ + + + + + + + + +@ + + + + + +   @         $ ( , @0  4  8  < @ D H L P @T  X  \  ` d h l p t @x  |        @         @         @         @         $ ( @,  0  4  8 < @ D H L @P  T  X  \ ` d h l p @t  x  |       @         @         @         +@ +  + +  + + + + +$ +@( + , + 0 + 4 +8 +< +@ +D +H +@L + P + T + X +\ +` +d +h +l +@p + t + x + | + + + + + +@ + + + + + + + + +@ + + + + + + + + +@ + + + + + + + + +@    @$ ( , 048<@D@H L P TX\`dh@l p t x|@   @   @   @    @  $ ( ,048<@@D H L PTX\`d@h l p tx|@   @   @   @    @  $ (,048<@@ D H LPTX\`@d h l ptx|@   @   @   @    @   $(,048@< @ D HLPTX\@` d h lptx|@   @   @   @    @   $(,04@8 < @ DHLPTX@\ ` d hlptx|@   @   @   @    @    $(,0@4 8 < @DHLPT@X \ ` dhlptx@|   @   @   @   @     $(,@0 4 8 <@DHLP@T X \ `dhlpt@x |  @   @   @   @    $(@, 0 4 8<@DHL@P T X \`dhlp@t x | @ (08@HPX`hpxӵљ՗Èꩯିؤ'黟HjDk3cXPHf~ gI>\`a mJ'T1]s>>H$K,oA-D*_' 8 ?g``)pm(_=bnm t+F5P<_q_)E*Mc h\kZ9;5wp}SbQeK8Q66Q&I;P~ k4W8V;Z"}cjD ' |5XvF> += oXmUcM!H&S+43~uuk3cX; PDXl ;;`XX2;;3cXlx(x(D(-)H-)3)D?D$J$h40@@ PMW((@'@.@ E.@#@ @C$ @@E!JO| D@!LOD@!NO(DA!POHD@A!RO|8EA!TOEA!VOH EB!XOЊ0E@B!] +XEEB!H^ OPphEB!He +OؔFC!Xl O F@C!Xs O  FC!{ +)[  0FC!d@FD!؁PF@D!0)O| Ю`F@E<D\tt%n@ %v@x%v@%v@(%v@%v@%v@0%v@!\@pFFE!]C FF"@EcE%P\tP]%c@%@P%@%@0%@{%)@~%)@ȁ%@0"f2% \ @\W@\;@\"f2% X] @X]W@]>@]0#(@`@ND|Sk Ӊꉞ   ND|Sk ꉞ  ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDHSk    NDHSk   ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|NDSk    NDSk   ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|NDSk    NDSk   ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|NDSk    NDSk  ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|NDxSk + +   NDxSk  ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|NDDSk   NDDSk + +  ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|NDSk    NDSk   ۉ҉ NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐND|ЈAN@iNDy]`ډ@E G]EW ډ ^UFFNDiyF@A AXFᐐNDiyA F@AL]h@ULBB G] UEG3O _1ӋW ډ W]WUNONNDiyF@A AXFᐐNDiyA F@ALLF@F@N@QND, +, +Q艆$ + +NDkS щ!ˉЉ щ!ˋ + $ +$ + ݉# !0 +( +, +Q艆 + +NDkS щ!ˉЉ щ!ˋ + + + ݉# !ۉ 0 +0 + ( +( +, +Q艆 + NDkS щ!ˉЉ щ!ˋ  + + ݉# ! 0 +0 + ( +( +, +Q艆 + NDkS щ!ˉЉ щ!ˋ  + + ݉# ! 0 +0 + ( +( +, +Q艆 + NDkS щ!ˉЉ щ!ˋ  + + ݉# ! 0 +0 + ( +( +, +Q艆 + NDkS щ!ˉЉ щ!ˋ  + + ݉# ! 0 +0 + ( +( +, +Q艆 + NDkS щ!ˉЉ щ!ˋ + + ݉# ! 0 +0 + ( +( +, +Q艆 + NDkS щ!ˉЉ щ!ˋ  + + ݉# ! 0 +0 + ( +( +NDQ0 +N( +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDL +L +Q艆D +$ +NDZB ڃ щ!ˋ Љ +щ!ˋ$ + D +D + ݉# +!P +H +L +Q艆@ + +NDZB ڃ щ!ˋ Љ +щ!ˋ + @ +@ + ݉# +!ۉ P +P + H +H +L +Q艆< + +NDZB ڃ щ!ˋ Љ щ!ˋ + < +< + ݉# ! P +P + H +H +L +Q艆8 + +NDZB ڃ щ!ˋ Љ щ!ˋ + 8 +8 + ݉# ! P +P + H +H +L +Q艆4 + +NDZB ڃ щ!ˋ Љ щ!ˋ + 4 +4 + ݉# ! P +P + H +H +L +Q艆0 + +NDZB ڃ щ!ˋ Љ щ!ˋ + 0 +0 + ݉# ! P +P + H +H +L +Q艆, + +NDZB ڃ щ!ˋ Љ щ!ˋ + , +, + ݉# ! P +P + H +H +L +Q艆( + +NDZB ڃ щ!ˋ Љ щ!ˋ + ( +( + ݉# ! P +P + H +H +NDQP +NH +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDL +L +Q艆D +$ +NDtZB ځ щ!ˋ Љ +щ!ˋ$ + D +D + ݉# +!P +H +L +Q艆@ + +NDxZB ځ щ!ˋ Љ +щ!ˋ + @ +@ + ݉# +!ۉ P +P + H +H +L +Q艆< + +ND|ZB ځ щ!ˋ Љ щ!ˋ + < +< + ݉# ! P +P + H +H +L +Q艆8 + +NDZB ځ щ!ˋ Љ щ!ˋ + 8 +8 + ݉# ! P +P + H +H +L +Q艆4 + +NDZB ځ щ!ˋ Љ щ!ˋ + 4 +4 + ݉# ! P +P + H +H +L +Q艆0 + +NDZB ځ щ!ˋ Љ щ!ˋ + 0 +0 + ݉# ! P +P + H +H +L +Q艆, + +NDZB ځ щ!ˋ Љ щ!ˋ + , +, + ݉# ! P +P + H +H +L +Q艆( + +NDZB ځ щ!ˋ Љ щ!ˋ + ( +( + ݉# ! P +P + H +H +NDQP +NH +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDtL +L +Q艆D +$ +ND@ZB ځ щ!ˋ Љ +щ!ˋ$ + D +D + ݉# +!P +H +L +Q艆@ + +NDDZB ځ щ!ˋ Љ +щ!ˋ + @ +@ + ݉# +!ۉ P +P + H +H +L +Q艆< + +NDHZB ځ щ!ˋ Љ щ!ˋ + < +< + ݉# ! P +P + H +H +L +Q艆8 + +NDLZB ځ щ!ˋ Љ щ!ˋ + 8 +8 + ݉# ! P +P + H +H +L +Q艆4 + +NDPZB ځ щ!ˋ Љ щ!ˋ + 4 +4 + ݉# ! P +P + H +H +L +Q艆0 + +NDTZB ځ щ!ˋ Љ щ!ˋ + 0 +0 + ݉# ! P +P + H +H +L +Q艆, + +NDXZB ځ щ!ˋ Љ щ!ˋ + , +, + ݉# ! P +P + H +H +L +Q艆( + +ND\ZB ځ щ!ˋ Љ щ!ˋ + ( +( + ݉# ! P +P + H +H +NDQP +NH +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDYSRWЉщ߉ËG %FGFʉكЃ +^)t^u S{WG _Z[NDAF,H ND F,@X FᐐNDiVDV,JND BXFᐐNDi] U Ӊы !ʉUTB B ]U 1ى 3 ډa E E|H u H A ~UPB B ]U 1ى 3 ډ E E|H u H A NDiVDV,JND BXFᐐNDiU E   ى 0 Y  $*UPB B ] U$ 1ى 3 ډ E$ E |H u H A UTB B ] U$ 1ى 3 ډ E$ E |H u H A UXB B ] U$ 1ى 3 ډ E$ E |H u H A ~U\B B ] U$ 1ى 3 ډ E$ E |H u H A PND ND NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDFiypF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ډUEGE DH u H A dH u H A ]ڃUNDAF,H ND@ Mh;N,S?[ F, H ND X FᐐNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ډUEGE DH u H A dH u H A ]ڃUNDAF,H ND@ ;N,S?[ F, H ND X Fᐐ#@.@@`(  @@@<@\@|A@AAAB$@B<BB<C@CDCCD@D <x\|(0<P<0|DD( D,@E4E# @`@.@}@JbHX- +@D1l 8L3K}PLj- +xD1 8N3M}PN0r- +0D1 HPCO}`P`zP`- +`D1t HRSQ}`R- +XE1 XTSS}pT- +E1$ hVcU}VXh- +E1| xXsW}X - + F1 xZY}]- PG1,$dd(cHbha`_^}d`x- `G1$kk8jXixhgfe}l- G1 $rr8qXpxonml}s +Xh- G1|$z(yHxhwvuts@ +D@@ 0- @ C} @ F -@ B.@ AO@ Xh-$@ hH-1@ B.4@ eO3@ -?@ I-F@ Ih:@ Ȃ؂h@ x,IDD@z8{||pFhHHZ#`ZpZp[ [4D 4DlD lDD PDD DLE LEE EE 0EE hEF Fz؅z z z0z Hz@,A ,APz z`\A \AzZ +'@@A0AHAkA[8[X[x[[[[[\;\]pzzzz {h{{{{{H|h||||(}H}h}}}~ˁìȽѴ)7(:,8094 @  ,W^{իxDDDDDDDDTEDDDDDDDDDDDDDDDTUUU @`!CJ0B@XB@BA8C0ACHAChA]]{,{2|8}>~؁ȁDZB ڃ щ!ˋ Љ щ!ˋ + , +, + ݉# ! P +P + H +H +L +Q艆( + +NDZB ڃ щ!ˋ Љ щ!ˋ + ( +( + ݉# ! P +P + H +H +NDQP +NH +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDL +L +Q艆D +$ +NDPZB ځ щ!ˋ Љ +щ!ˋ$ + D +D + ݉# +!P +H +L +Q艆@ + +NDTZB ځ щ!ˋ Љ +щ!ˋ + @ +@ + ݉# +!ۉ P +P + H +H +L +Q艆< + +NDXZB ځ щ!ˋ Љ щ!ˋ + < +< + ݉# ! P +P + H +H +L +Q艆8 + +ND\ZB ځ щ!ˋ Љ щ!ˋ + 8 +8 + ݉# ! P +P + H +H +L +Q艆4 + +ND`ZB ځ щ!ˋ Љ щ!ˋ + 4 +4 + ݉# ! P +P + H +H +L +Q艆0 + +NDdZB ځ щ!ˋ Љ щ!ˋ + 0 +0 + ݉# ! P +P + H +H +L +Q艆, + +NDhZB ځ щ!ˋ Љ щ!ˋ + , +, + ݉# ! P +P + H +H +L +Q艆( + +NDlZB ځ щ!ˋ Љ щ!ˋ + ( +( + ݉# ! P +P + H +H +NDQP +NH +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDPL +L +Q艆D +$ +NDZB ځ щ!ˋ Љ +щ!ˋ$ + D +D + ݉# +!P +H +L +Q艆@ + +ND ZB ځ щ!ˋ Љ +щ!ˋ + @ +@ + ݉# +!ۉ P +P + H +H +L +Q艆< + +ND$ZB ځ щ!ˋ Љ щ!ˋ + < +< + ݉# ! P +P + H +H +L +Q艆8 + +ND(ZB ځ щ!ˋ Љ щ!ˋ + 8 +8 + ݉# ! P +P + H +H +L +Q艆4 + +ND,ZB ځ щ!ˋ Љ щ!ˋ + 4 +4 + ݉# ! P +P + H +H +L +Q艆0 + +ND0ZB ځ щ!ˋ Љ щ!ˋ + 0 +0 + ݉# ! P +P + H +H +L +Q艆, + +ND4ZB ځ щ!ˋ Љ щ!ˋ + , +, + ݉# ! P +P + H +H +L +Q艆( + +ND8ZB ځ щ!ˋ Љ щ!ˋ + ( +( + ݉# ! P +P + H +H +NDQP +NH +NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDYSRWЉщ߉ËG %FGFʉكЃ +^)t^u S{WG _Z[NDAF,H ND F,@X FᐐNDij dždžNjEGEUHBG B GULBGB GUPBGB GUTBG$B G VDzV,JND BXFᐐNDyiUEG(O9G(9]HSC G(O 9OG(9]LSC G(O9zOG(9f]PSC G(O9GOG(93]TSC G(O$9O G(9UEGW ى0WY  $~+UHBGB ] UO1ىO3W ډEGE ONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[ULBGB ] UO1ىO3W ډFEGE ONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[UUPBGB ] UO1ىO3W ډEGE ONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[UTBGB ] UO1ىO3W ډEGE ONNSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[rNDFiyhF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi]UO1ىO3W ډ0EGE`H u H A ]ڃUNDAF,H ND@ M`;N,S?[ F, H ND X FᐐNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi]UO1ىO3W ډ0EGE`H u H A ]ڃUNDAF,H ND@ Mx;N,S?[ F, H ND X Fᐐ#0@@`V(  @@@@8@XAx@AAAB@BBBC@C CxC x8X(x0P0DDD# @`0@}IYHX- +@D1l KJ}Ka- +xD1 ML}M i- + D1 ON}OPqP`- +PD1t QP}Qy- +XE1 SR}S- +E1$ UT}UXh- +E1| WV}W- +F1 YX}P]- PG1,$@d`cba`__#^}PdPx- PG1$Pkpjihgff3e}`k- G1 $Prpqponmm3l}`rpXh- pG1|$`yxwvuu tCs , $ +8@tL\l| +ӵӆ䄅Ȟܜ̃ݍߍ֮髦[ 4RjDk3cXcM:U'Lbw(E$W# +@n@6Z5R|?S:T!YwFuAojWyaxV!Cqc9tZtnfH% ;CuBs"N` e ~(*0C*MM*]2@+rO"C0Dj' 'Q=R5vXh& EqH,3_6X-U{JyMco ]3cXg; DXl g;g;`XX+g;g;3cXl0)(@+(D`^(((X(( wSJ$V$0@@ (ֵGV(PW(#@ @I$ @@B +B!@ CPC@!Pp؅CC@!PX +ȇCCA!P`'8DE@A!PdX0FFA!Pd $FpGA!Pd&(HHB!P8%r 0II@B!P+'IJB!P`C ,XFKHLg +INFO: WISHBONE MASTER MODEL INSTANTIATED (g B) +" q2 BWP]`z CWX%f 8@8 d   @@"S% pq" Y2r2 +S% N @@@@8@g (@( + +pY"h <2Sp2P + 2 S 24]22Dm&20S2P!y"2#24%S%@ 1 X}@X  @ %@@ 8K @8 @ 5 +@ n@@p  W@@  XE@X~@@ g@8 @ Q@!@"@8'# s#@<% 'pa"$ Y2qS72p +R 2 +S%0N @ x q@H @ @ +@G  @ p"@ <22 h 22Y2@i"2%X@1 }@@4@  @. @@] x @x@h@ @@  +@ z@h +@  X c@X $p"D <2X2 + 212V22P2%!2#2%`@1 }@@M @ @(/ @  @@& r@@(' s@@ @6 @!_!@`"'# #s#@#&p" Y2-2S%N '@'g@) )B@) m p9%"h <2S)2 +S 2BmA2`S@22S2!"#2&S%@p/1 /}@/ @01c@x2 3>@3$ + @4 5= @5|@6@@76 7@7h @95 9@9@p;@<z <@< J@X>y >@>"@8@/#@@# pA +$@pA& 'p+"0 Y22 +S| 2(Q*2S%@N E@E5@FP@I `J3@`J + @L HL1 @HLb@8N@N Ok@O paC0#V(@`@NDiU E Ӂ nUU UDFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U1ى Ӂ ڃiUUUpFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E UUSRWЉщ߉ËGFʉكЃ +^u S{WG _Z[E UU SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[]$U(1ى Ӂ ډxU(U$FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E, UU,SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[U4U0dž @Bdž FHNL qV^FVDV,JND QFH$QP$ JYXFᐐNDiFVF@PFDPFPFPFDdžX hY džFFDF@FVn F@PFDPF PFPFPFDdža hY +džF FDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@RNDQ At2AJIȋH9uJH B R uZNDAF,H ND S,^,C,C[X Fᐐ FGFGU4U0U4U0 ¸VFF F@PFDPF PFPFPFDdžT hYdžF FDF@N  ȉ  + !  SR1ۉZ[ʉЉ Ј  ЈA  ЈA  ЈA ЈA ЈA ЈA ЈA Ӄ n FDxX Fᐐjdždž8NjFGFGG@BGFHNLW_qV^FVDzjV,JND QFH$QP$ JYXFᐐNDyiG G] UO 1ىO3_W ڃhGEG E UDO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[$G (G]UO 1ىO3_W ڃhGEG EUpO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[EG W ^UG SRW؉ы ËGFʉكЃ +^u S{WG _Z[E G W ^U G SRW؉ы ËGFʉكЃ +^u S{WG _Z[E,G W ^U,G SRW؉ы ËGFʉكЃ +^u S{WG _Z[G G ]$U(O1ىO3_ W ډwG E(GE$ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[RNDQ At2AJIȋH9uJH B R uZLVSRWU@F^ CK 9Ju P 9Xx~DWxP G^ F]_Z[VDzjV,JND BXFᐐNDyi]FpFv&/3_6X-U{JyMco ]3cXg; DXl g;g;`XX+g;g;3cXl0)(@+(D`^(((X(( wSJ$V$0@@ (ֵGV(PW(#@ @I$ @@B +B!@ CPC@!Pp؅CC@!PX +ȇCCA!P`'8DE@A!PdX0FFA!Pd $FpGA!Pd&(HHB!P8%r 0II@B!P+'IJB!P`C ,XFKHLg +INFO: WISHBONE MASTER MODEL INSTANTIATED (g B) +" q2 BWP]`z CWX%f 8@8 d   @@"S% pq" Y2r2 +S% N @@@@8@g (@( + +pY"h <2Sp2P + 2 S 24]22Dm&20S2P!y"2#24%S%@ 1 X}@X  @ %@@ 8K @8 @ 5 +@ n@@p  W@@  XE@X~@@ g@8 @ Q@!@"@8'# s#@<% 'pa"$ Y2qS72p +R 2 +S%0N @ x q@H @ @ +@G  @ p"@ <22 h 22Y2@i"2%X@1 }@@4@  @. @@] x @x@h@ @@  +@ z@h +@  X c@X $p"D <2X2 + 212V22P2%!2#2%`@1 }@@M @ @(/ @  @@& r@@(' s@@ @6 @!_!@`"'# #s#@#&p" Y2-2S%N '@'g@) )B@) m p9%"h <2S)2 +S 2BmA2`S@22S2!"#2&S%@p/1 /}@/ @01c@x2 3>@3$ + @4 5= @5|@6@@76 7@7h @95 9@9@p;@<z <@< J@X>y >@>"@8@/#@@# pA +$@pA& 'p+"0 Y22 +S| 2(Q*2S%@N E@E5@FP@I `J3@`J + @L HL1 @HLb@8N@N Ok@O paC0#V(@`@NDiU E Ӂ nUU UDFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U1ى Ӂ ڃiUUUpFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E UUSRWЉщ߉ËGFʉكЃ +^u S{WG _Z[E UU SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[]$U(1ى Ӂ ډxU(U$FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[E, UU,SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[U4U0dž @Bdž FHNL qV^FVDV,JND QFH$QP$ JYXFᐐNDiFVF@PFDPFPFPFDdžX hY džFFDF@FVn F@PFDPF PFPFPFDdža hY +džF FDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@RNDQ At2AJIȋH9uJH B R uZNDAF,H ND S,^,C,C[X Fᐐ FGFGU4U0U4U0 ¸VFF F@PFDPF PFPFPFDdžT hYdžF FDF@N  ȉ  + !  SR1ۉZ[ʉЉ Ј  ЈA  ЈA  ЈA ЈA ЈA ЈA ЈA Ӄ n FDxX Fᐐjdždž8NjFGFGG@BGFHNLW_qV^FVDzjV,JND QFH$QP$ JYXFᐐNDyiG G] UO 1ىO3_W ڃhGEG E UDO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[$G (G]UO 1ىO3_W ڃhGEG EUpO NONSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[EG W ^UG SRW؉ы ËGFʉكЃ +^u S{WG _Z[E G W ^U G SRW؉ы ËGFʉكЃ +^u S{WG _Z[E,G W ^U,G SRW؉ы ËGFʉكЃ +^u S{WG _Z[G G ]$U(O1ىO3_ W ډwG E(GE$ONO NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[RNDQ At2AJIȋH9uJH B R uZLVSRWU@F^ CK 9Ju P 9Xx~DWxP G^ F]_Z[VDzjV,JND BXFᐐNDyi]R=Zt7J.-(L}S?V8M5*-p~~7ns6A#|psj5X9J>[)vyW4lo&KeU-|OLz/mjgIxKQ2]99u3cX; +DXl ;;`XX7;;3cXl''D0'Я(((XR&D$XK$Xt0@D@ m:( (#@ @C$ @@@@E!pн)[X F@!Z +xF@!)O +ؕ(FA!8)O +8F@A!h)O pHFA!)O 8XFA!ȸp)O< hFB!`)OX أxF@B!(P)Ot FB!X @)O pFB! +0)O @FC! )O F@C! )O FC! +)O FC!H)O xFD!x)O8 HF@D!)OT GD!)Op GD!)O (GE!xk +x8G@E!n +HG@h،H(xXȐ8<`(Hh0%H@p%)@%@%@8%@h%@%@ȸ%@%@(%@X%@%@%@%@%@H%@x%@%@%@%)@h%)@0#(@`@ND(*]U NDQn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[ND(jZ NDQ4n^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDQ`n^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[ND<n^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDhn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDpn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[NDn^SRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDiVDV,JND BXFᐐNDi]lSC   ى E Y  TL +D~< +v U,B B ] U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[ U0B B ] U 1ى 3 ڃ) E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[ +U4B B ] U 1ى 3 ڃk E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[> +U8B B ] U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[ U ESRW ׉ËGFʉكЃt +^u S{WG _Z[>   ى E 3 z ESRW ׉ËGFʉكЃt +^u S{WG _Z[z 艆   ى E 3  ESRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E 3  ESRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E 3 4 ESRW ׉ËGFʉكЃt +^u S{WG _Z[4   ى E 3 p ESRW ׉ËGFʉكЃt +^u S{WG _Z[pE USRWЉщ߉ËGFʉكЃ +^u S{WG _Z[#@`( @ @'I@@L@M@MAM@AMAMA NBN@B,NBI&T?f[8W9T5M`(eKewY4*R`UeP5 j_qto9;!R;\\;L#Q:c^=R +$G(LLg3cX; +DXl ;;`XX0;;3cXl((D(B)B)J)h)62OD$hm$0@@@  X2((#@ @C$ @@@ZM! `)Op  GHG@!00GG@! $*(H@HA!НJI@I@A!` R0IJA! RJJA! RKKB! R8`LL@B! R8MxMB!0 RNPNB! + R@N(OC!P VOP@C! bPPC!p + nXpQQC! nȞHRRD! n8 S`S@D!  nS8TD! nTUD!0 nUUE!z @ZZ@E!)[ xx[[E!H`)O +\0[[E![4 +[[F!)Od +0\(\@F!)O +dH\`\F!P)O +\`\\xG\ + +  p"FFbGiG%w@ B  !p\\G" `G,pGxG%Pp!A\]`H"`GG%P !r(]@]Hp!؜rHh]]XI"XH`HGGbHiHH%PP؜!`r]]I!hr8]^0J"XHXIGGlHsHI%P`Ph! r(^@^pJ!!r(h^^K"XH0JGGlHsHpJ%PP!"r^^HK!#r^_K"XHKGGlHsHHK%PP!$r(_@_ L!%rh__L"XHKGGlHsH L%PP!&r__L!'r_`M"XHLGGlHsHL%PP!0(rp(`@`M!8)rh``hN"XHMGGlHsHM%P0P8!*r```N!Ȯ+r`a@O"XHhNGGlHsHN%PPȮ!P,rP(a@aO!X-rhaaP"XH@OGGoHvHO%PPPX!.x@aaXP!/xabP"XHPGGwH~HXP%PP!p00(b@b0Q!x1hbbQ"XHPGG{HH0Q%PpPx!2@bbR!3bcR"XHQGG{HHR%PP!4P(c@cR!5hccxS"XHRGG{HHR%PP! 6`ccS!(7cdPT"XHxSGG{HHS%P P(!8p (d@dT!9 hdd(U"XHPTGG{HHT%PP!@:ddhU!8;deV"XH(UGG{HHhU%P@P8!<0(e@e@V!=0heeV!H>0eeV!?0 efW!@0P(f@f@W!(A0hffW!B0 ffW!hC0"fgX!D0%(g@g@X!E0@'hggX!HF0p)ggX!G0+ghY!H0-(h@h@Y!(I00hhhY!J002hhY!hK0`4hiZ!L06(i@i"P`GVGG@VV?VW@WCWWXG@XXXU Y +@Y +Ye Y Z%DPPPHPPPP(TPPhPXPPHPf P +P( +Pv Ph& P"GG%@"`GOF%O@H"F%@p" `G[\%@" "`GT@\%T@2 "`GOx\%O@"f2%  @W@p@p"f2%  @W@<@"f2%  @W@m@"f2%  @W@؜m@؜"f2%  @W@`m@`"f2%  @W@hm@h"f2% 0 @0W@m@"f2% 8 @8W@m@"f2%  @W@m@"f2% Ȥ @ȤW@m@"f2% P @PW@m@"f2% X @XW@m@"f2%  @W@m@"f2%  @W@m@"f2% p @pW@0m@0"f2% x @xW@8m@8"f2%  @W@m@"f2%  @W@Ȯm@Ȯ"f2%  @W@Pm@P"f2%  @W@Xm@X"f2%  @ W@s@"f2% ( @(W@s@"f2%  @W@p@p"f2%  @W@x@x"f2% @ @@W@@"f2% H @HW@@"f2% к @кW@@"f2% ػ @ػW@@"f2% ` @`W@ @ "f2% h @hW@(@("f2%  @W@@"f2%  @W@@"f2%  @W@@@@"f2% x @xW@8@8"f2%  @W@+@"f2%  @W@+@"f2%  @W@H+@H"f2% ( @(W@+@"f2%  @W@+@"f2% h @hW@(+@("f2%  @W@+@"f2%  @W@h+@h"f2% H @HW@+@"f2%  @W@+@"f2%  @W@H+@H"f2% ( @(W@+@"f2%  @W@+@"f2% h @hW@(+@("f2%  @W@+@"f2%  @W@h+@h"f2% H @HW@+@0#(@`@Ӊ艆  艆 !鉎 # ) ځ щ!͋  Љ ы !ʋ Ջ # !NDQSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[VDV,JND BXFᐐND|ЈAN@iNDyӉG$WGO$ ىO_ _W$ W#OO,#O,OW(G W(ҋ_ ӋW W #O,O#OG O(3OO3_ W ډ W  +W ONNh ؈d ؈` ؈\ ؈X ؈T ؈P ؈L ؈H ؈D ؈@ ؈< ؈8 ؈4 ؈0 ؈, ؈NDiyF@A AXFᐐNDiyA F@AF@F@N@QЈAN@iNDyWGW GW ҉ OO_ _W WO!ىO#OG O 3OO3_W ډ W ]W ONNNDiyF@A AX FᐐNDiyA F@AF@F@N@QЈAN@iNDy@E G]EW ډ UFFЈNDiyF@A AX +FᐐNDiyA F@AGWGGы_!ˉGЉG #OO_ _W WO !ى!Ӊы!ʉW] UEG3O _1ӋW ډ WlWUNONЈNDiyF@A AXFᐐNDiyA F@AF@F@N@QЈAN@iNDy@EG]E W ډ U FFЈNDiyF@A AX +FᐐNDiyA F@AOWGG_GڃOщ!ˋGG ЉG#O O_ _W WO!ى!Ӊы!ʉW]UE G3O _1ӋW ډ WjWU NONЈNDiyF@A AX +FᐐNDiyA F@AF@F@N@QЈAN@iNDy@E$G](E,W ډ U,FFDЈNDiyF@A AX +FᐐNDiyA F@AOWGG_GڃOщ!ˋGG ЉG#O O_ _W WO!ى!Ӊы!ʉW]$U(E,G3O _1ӋW ډ WjWU,NONDЈNDiyF@A AX +FᐐNDiyA F@AF@F@N@QЈAN@iNDy@E0G]4E8W ډ U8FFxЈNDiyF@A AX +FᐐNDiyA F@AOWGG_GڃOщ!ˋGG ЉG#O O_ _W WO!ى!Ӊы!ʉW]0U4E8G3O _1ӋW ډ WjWU8NONxЈNDiyF@A AX +FᐐNDiyA F@AF@F@N@QЈAN@iNDy@ES2Jf +kx'N(KeK=Q>Yw4|Ix}O}S?V8M5*-p~~7ns6A#|psj5F*K=Xn&kEkqyW:$\iX9_<]o]svm; +9 +#@/KKs3cX; DXl ;;`XX6;;3cXl(x(D(pg)g)`q) )iD$P$^0@.@ (0(#@ @C$ @@I!po FF@!Xp@)O F@!q)[FA!r )[dF@A!(sh)O@FA!ye0FA!FB!xbG@B!QGB!@ X`GpGB! +XGGC! X H0H@C! XHHC!@ +PHHC!P@IPID!PII@D!PJJD!$ +H JD!Ip0JE!)O`@J@E!hp)O|d PJ@FHXȨx(ت8!n8`JxJ G"@F%Pn%@Xp%@q%@r%@(s%)@y%)@%)@h%)@p!Ў&JJG" G%PЎ!&JJG"G%P!P& K8K@H"G%PP!&8`KxKH"@H%P!Б&`KKI"H%PБ!&KK`I"I%P!P& L8LI"`I%PP!&`LxL"I%P%)@%)@%R@%V@h"f2% 0o @0oW@n3@n"f2%  @W@Ў!@Ў"f2% Џ @ЏW@!@"f2%  @W@P!@P"f2% P @PW@!@"f2%  @W@Б!@Б"f2% В @ВW@!@"f2%  @W@P!@P"f2% P @PW@!@0#(@`@ЈAN@iNDyU(ӉG҉ OU0ӉGE4G 3O_3_W ډ W [W U4NONNDiyF@A AX +FᐐNDiyA F@AF@F@N@QND  NDۉ ND  ND    ND ND  ND NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDYSRWЉщ߉ËG %FGFʉكЃ +^)t^u S{WG _Z[NDAF,H ND F,@X FᐐNDՉNDQSRWى׉ËG %FGFʉكЃt +^)t^u S{WG _Z[VDV,JND BXFᐐND`ND`B B NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDiVDV,JND BXFᐐNDiSC   ى M Y  4w8{B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ@ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ< E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ{ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[YB B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U1ى Ӂ ڃUUFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[NDiVDV,JND BXFᐐNDiSC   ى  Y  4|N B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[gB B ]U 1ى 3 ډ* E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډX E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[MB B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[{B B ]U 1ى 3 ډ> E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U 1ى Ӂ ډU U4FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[NDiVDV,JND BXFᐐNDiSC   ى J Y  4t5xU|B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃC E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ? E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ~ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[YB B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[] U1ى Ӂ ڃUU FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[NDiVDV,JND BXFᐐNDiSC   ى Y Y  4z>    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[   ى E$ 3 ! E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[ 艆   ى E$ 3 c E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[F    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[   ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[ 艆   ى E$ 3 Y E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[<    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[xE$ U$`SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[ЈAN@iNDyGU8ӉGE 艆   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3 ' E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3 c E,SRW ׉ËGFʉكЃt +^u S{WG _Z[>   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[z 艆   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3 Y E,SRW ׉ËGFʉكЃt +^u S{WG _Z[4   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[pE, U,SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[NDB +B + +ы +!ˋ + +Љ +ы +!͋NDډ艆 + + щ +  + +# +!ӋNDQSRWى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQ0SRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQXSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQlSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[VDV,JND BXFᐐNDNDhB +B + +ы +!ˋ + +Љ +ы +!͋NDl  + + щ +  + +# +!ӋNDQSRWى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQ0SRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQXSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQlSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[VDV,JND BXFᐐNDNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi_ ىO E0GW 3W=G E01 t+UHi @u i M u]]4ڃU4NDAF,H ND@ ;N,S?[ F, H ND X FᐐNDFiy F,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi_ ىO E8GW 3W+G E8H u H A ]<ڃUS2Jf +kx'N(KeK=Q>Yw4|IFt)O.lkfHyJ~P#J--dJ=R Kep/L#M A9fyn T=[u88N"M*iGw: 5bZ<]svm; +9 +#@/KKs3cX; DXl ;;`XX6;;3cXl(x(D(pg)g)`q) )iD$P$^0@.@ (0(#@ @C$ @@I!po FF@!Xp@)O F@!q)[FA!r )[dF@A!(sh)O@FA!ye0FA!FB!xbG@B!QGB!@ X`GpGB! +XGGC! X H0H@C! XHHC!@ +PHHC!P@IPID!PII@D!PJJD!$ +H JD!Ip0JE!)O`@J@E!hp)O|d PJ@FHXȨx(ت8!n8`JxJ G"@F%Pn%@Xp%@q%@r%@(s%)@y%)@%)@h%)@p!Ў&JJG" G%PЎ!&JJG"G%P!P& K8K@H"G%PP!&8`KxKH"@H%P!Б&`KKI"H%PБ!&KK`I"I%P!P& L8LI"`I%PP!&`LxL"I%P%)@%)@%R@%V@h"f2% 0o @0oW@n3@n"f2%  @W@Ў!@Ў"f2% Џ @ЏW@!@"f2%  @W@P!@P"f2% P @PW@!@"f2%  @W@Б!@Б"f2% В @ВW@!@"f2%  @W@P!@P"f2% P @PW@!@0#(@`@ЈAN@iNDyU(ӉG҉ OU0ӉGE4G 3O_3_W ډ W [W U4NONNDiyF@A AX +FᐐNDiyA F@AF@F@N@QND  NDۉ ND  ND    ND ND  ND NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDYSRWЉщ߉ËG %FGFʉكЃ +^)t^u S{WG _Z[NDAF,H ND F,@X FᐐNDՉNDQSRWى׉ËG %FGFʉكЃt +^)t^u S{WG _Z[VDV,JND BXFᐐND`ND`B B NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDiVDV,JND BXFᐐNDiSC   ى M Y  4w8{B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ@ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ< E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ڃ{ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[YB B ]U 1ى 3 ڃ E E N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U1ى Ӂ ڃUUFFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[NDiVDV,JND BXFᐐNDiSC   ى  Y  4|N B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[gB B ]U 1ى 3 ډ* E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډX E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[MB B ]U 1ى 3 ډ E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[{B B ]U 1ى 3 ډ> E E4 N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]U 1ى Ӂ ډU U4FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[NDiVDV,JND BXFᐐNDiSC   ى J Y  4t5xU|B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃC E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[]B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ? E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[B B ] U 1ى 3 ڃ~ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[YB B ] U 1ى 3 ڃ E E  N NSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[] U1ى Ӂ ڃUU FFSRыFVËAF`^hVlZ[FhFFlFSR^h‹F`uS XHY[Y$Q(Z[NDiVDV,JND BXFᐐNDiSC   ى Y Y  4z>    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[   ى E$ 3 ! E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[ 艆   ى E$ 3 c E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[F    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[   ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[ 艆   ى E$ 3 Y E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[<    ى E$ 3  E$`SRW ׉ËGFʉكЃt +^u S{WG _Z[xE$ U$`SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[ЈAN@iNDyGU8ӉGE 艆   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3 ' E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3 c E,SRW ׉ËGFʉكЃt +^u S{WG _Z[>   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[z 艆   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[    ى E, 3 Y E,SRW ׉ËGFʉكЃt +^u S{WG _Z[4   ى E, 3  E,SRW ׉ËGFʉكЃt +^u S{WG _Z[pE, U,SRWЉщ߉ËGFʉكЃ +^u S{WG _Z[NDB +B + +ы +!ˋ + +Љ +ы +!͋NDډ艆 + + щ +  + +# +!ӋNDQSRWى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQ0SRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQXSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQlSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[VDV,JND BXFᐐNDNDhB +B + +ы +!ˋ + +Љ +ы +!͋NDl  + + щ +  + +# +!ӋNDQSRWى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQ0SRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQXSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDQlSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[ + +щ!ʉЉ ы +!ʉ  + +# !NDSRW ى׉ËG %FGFʉكЃ +^)t^u S{WG _Z[VDV,JND BXFᐐNDNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi_ ىO E0GW 3W=G E01 t+UHi @u i M u]]4ڃU4NDAF,H ND@ ;N,S?[ F, H ND X FᐐNDFiy F,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi_ ىO E8GW 3W+G E8H u H A ]<ڃU||]|R}zr]/Z>Wxub=^1C&Uz +o0S>>?>>?>L8T{ +hsp6`]>Q?R3KpstbL::;S\9W3V${w`  @oj nno@ n~8է̾xq`d@@ oȲ@pP o΢- P 8Np` 8 p߬- ` `Npp pXpߺ-p h1p - + e- + b- + _- + \- + Y- + W- + Tp pʤ- kp +q. +(q<ث΢-0 +LwHq׻r@0 +lqXqTp@ +Pq-@ +8NpP +q-P +`Np` +q- ` +Npp +p(r-p +h1p +й- + +e- + +b- + +_- + +\- + +Y- + +W- + +Tp +r޻- +0lpr- ly@0(s-0l}r0ss-0L.0xsO0Ps`s-40k-B00lh$0sss-l-@S-*0V-7 Y-D\- _-a-&d-3gm)8tHtm(tXtmthtmtxtm:stm-stm stmst- +lyptuu8uXu.0uwu-PP}tHuu-@Svuu.uwu-PP}tuv-0VvHvhv.@vw(v-PP}tXvv- Y(wvv.vwv-PP}tvw-\wXwxw.Pww8w-PP}thww-_8xwx. www- PP}t w(x- axhxx.0`xwHx-0PP}t0xxx-0dHyxy.@xwx-@PP}t@y8y-@ghy-PPP}tPXyy-PM2Pyyp.Py1Pljtt@t-l-S-(V-4Y-@\- _-pb-#`e-/Phm&zzmxzzmhzzmXzzm7Hzzm+8zzm(zzmz{- +lx({X{{x{{.0uwh{-P}8{{{-SH|{|.uw{-P}8{|8|-V|h||.@vwX|-P}8{x||-Y8}|}.vw|-P}8{|(}-\}X}x}.PwwH}-P}8{h}}-_(~}}.ww}-P}8{}~-pb~H~h~.`xw8~-P}8{X~~-`e~~. xw~- P}8{ ~- Ph8-0P}8{0(p-0N20`0.0y10tj{8{x@8{-`l-`@R-*`0U-7` X-D`[- p^-p`-&pc-3pfm)pHXmp8hmp(xmpm:`m-`m `m`Ȁ- +lx8X.0uw(-O}H-@RЁ.uw-O}-0U(H.@vw-O}8p- X.vw-O}-[p8.Pww-O}(`-^.ww-O}؃-``(.`xw-O}P-c؄.xwp-O}Ȅ-f-O}0-HM2 X.y1|j`؀@`@@Ѕ-@R}@-@POx@P@-PU}P0h-PPO@`-`X}x`؆-`POX@p -p[}pH-pPOȇ@-^}X-PO8@-pa}ȇ(-PO@p-`d}8`-PO@-Pg}Ј-PO- l- S-& V-1 Y-< \- +0_-0b- 0e-+0xhm#0m0xm +0hm0Xȉm4 H؉m) 8m (m - +@l@(XЊx.P0uwh-PP}8P-PSH.`uw-`P}8`8-`Vh.p@vwX-pP}8px-pY8.vwЋ-P}8(-\Xx.PwwH-P}8h-_(Ќ.ww-P}8-bHh.`xw8-P}8X-e.xw-P}8Ѝ-xh8-P}8(`.yj 8@@ 8-8Nk-m~@  . Li +Ў-TjЎ@Ў-08Nk0`-@Hm~ @ЏP.@ Li +@-@Wj0p@0-`8Nk` -pm~ p@.p Li +pP-pYj`0P@`P-8Nk-m~ P`Б. Li +@-\j@@-8Nk-m~@  . Li +Б-_jБ@Б-8Nk`-(n~ ВP. Li +-bjp@- 8Nk  -0`n~ 0@.0 Li +0P-0ej 0P@ P-P8NkP-`n~ `P`.` Li +`@-`hjP@P-l-T-(W-4Y-@\- _-b-#e-/h- m-Hm-#m-/m- m-(n-#`n-/nm&mmpm`Еm&Pm@m0m m& m0m@mPm7Д`m+pmm- +lx .0uw- Q}h-T-'mYHX.uw- Q}-W-'HmY0P.@vw - Q}@-Y-'mYx@Ș.vw- Q}ؘ0-\-'mY ؙ`.PwwP- Q}pș-_-'mYp. ww-  Q} `- b-' (nY @P.0`xw-0 Q}0-0e-'0`nY0ؚ(H.@xw-@ Q}@8-@h-'@nY@p-P Q}P.P(qj@-l-8T-((W-4Z-@]- _-b-#e-/hm&mmxȜmh؜m7Xm+Hm8m(- +l8h.0uwx-HQ}HН-8TX .uw-HQ}HH-(WОx.@vwh-HQ}H-ZH.vw-HQ}H8-]h.PwwX-HQ}Hx-_8.wwП-HQ}H(-bXx.`xwH-HQ}Hh-e(Р. xw- HQ}H - hH-0HQ}H08p.0(qj(H@H`@`-``T}`ءP-`l.`0uO` -,`pQY#`0@@p-pPW}`p-pl.puOpТ-,ppQY#p@H-@Z}8-l.@vOp-,pQY#p@-0]}`-l.vO 0-,pQY#@P @- `}p-l.PwOФ-,pQY#Х@X-c} H-l.wO-,pQY#@-f}Хp-l.`xO0@-,pQY#P`0@-h} -l.xO-,pQY#@h-T}0 XЧ-l.0uO-,QY#@-xW} +-l.uO@P-,QY#`p@@Ȩ-hZ}0-l.@vO-,QY# @ x- X]}@ h- l. vO -, QY# Щ@0(-0H`}0-0l.0PwO0P`-,0QY#0pP@@ت-@8c}@Ȫ@-@l.@wO@-,@QY#@ 0@P-P(f}PP!x-Pl.P`xOP-,PQY#PЫ@`8-`i}`%(-`l.`xO``p-,`QY#``@-T})جP-l.0uO -,QY#0@@-W}`--l.uOЭ-,QY#@H-Z}18-l.@vOp-,QY#p@-]}5`-l.vO 0-,QY#@P @-p`}p9-l.PwOЯ-,QY#а@X-`c} =H-l.wO-,QY#@-Pf}аAp-l.`xO0@-,QY#P`@-@i}E -l.xO-,QY#`c`nhobl8cZmpaPfnPhflm(f]mg@i]PPhhHmip`(ne `_xqH`cm\@ ooP o (o` p `pp Dp Dp p гp +l l0 +4q@4q@ +@ x@P +@ @` + A  A +r rz@NzP8Oz(jzizjz(RzRz(SzSzеUzUz Vz0VzXz@Xz`YzpYzPZzx[z[zx\z]zh^z^zh_zж`zXaz az0Xbzcz@Hdz`dzpHezPfz8gzgz8hzxqzyzpz0 +@@A8AXAxAAAAAB8BXBxBBBBBC8CXCxCCCCCD8DXDxDDDDDE8EXExEEEEEF8FXFxFFFFFG8GXGxGGGGGH8HXHxHHHHHI8IXIxIIIIIJ8JXJxJJJJJK8KXKxKKKKKL8L[Lcoop0ppqqqqrrryyKks3s3sHphpxppppppr8rHrXrhrxrrrìȽѴ)7`:d8h9l888Lww#GDDDDUUU"""""""""""" @`!PPiO@jPjPj QkHQ8k8N@`N@NAPO8AOXAPPxAPAPA QAHQApQB!Q8BQXB@RxBRB@SBSBSBTC8T8C`TXCTxCTC0UCUC0VCVDV8DWXD(WxDPWDxWDWD XDXE Y8EYXEYxEYEZE@ZEhZEZF[8F[XF\xF\F\F\F]F0]GX]8G]XG^xG^G_G_G_G_H_8H `XHH`xHp`H`HpaHaHpbIb8IbXIbxIcI8cI`cIcI`dJd8J`eXJexJeJeJfJ(fJPfKf8KPgXKgxKPhKxhKhKhKhLi8L@iXLpo`oXpq(rryyxhp@0p@0pB B ] U$ 1ى 3 ډ E$ E |H u H A ~U\B B ] U$ 1ى 3 ډ E$ E |H u H A PND ND NDQ N NSRыFVËA$FPA(FdAF`^hVlZ[FhFFlFSR^h‹FP1؉FP1Vd FdtF`uS XHY[Y$Q(Z[VDV,JND BXFᐐNDNDFiypF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ډUEGE DH u H A dH u H A ]ڃUNDAF,H ND@ Mh;N,S?[ F, H ND X FᐐNDFiyF,N,yH_ H F, h@@F,NDHFD jdždžNjF,xNDHFD NONG@BG FHNLW_ qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiNDRSyN,INDF, XB [^[ZᐐNDyi] UO1ىO3W ډUEGE DH u H A dH u H A ]ڃUNDAF,H ND@ ;N,S?[ F, H ND X Fᐐ#*@@`P(  @@@<@\@|A@AAAB$@B<BB<C@CDCCD@D <x\|(0<P<0|DD D,@EQ(4E# @`*@}@JbHX- +@D1l 8L3K}PLj- +xD1 8N3M}PN0r- +0D1 HPCO}`P`zP`- +`D1t HRSQ}`R- +XE1 XTSS}pT- +E1$ hVcU}VXh- +E1| xXsW}X - + F1 xZY}]- PG1,$dd(cHbha`_^}d`x- `G1$kk8jXixhgfe}l- G1 $rr8qXpxonml}s +Xh- G1|$z(yHxhwvutsӵЋ뉆˃Á̛ȷDMC.jDk`X쇩ݸ˿ܪƩϦȽᣣʥȭሧŠΪϽҰ̡֥ȡ䊥˨߰©ܰٻٽ処Ʃġ턫ɬ¦ñ޼کĭ膩ǤӼΥIJӡȬスԻֳ۾дѣ画̮Ⱥ΢狤ҷŬɡΣ̮˥։ιۄ꒽ߺԷѧ°ٵڽɬ߫ɽҢ۩ܸطŠպԹؠ´ѣʦɮ̩Ǥ菏IJŧհֿѴ韟̹ݴ޽ҠŶӰ߱ܽ쀯ټΧˤñũ`Xm; +$<@p(/75@`@@P@@;;,;. +@xL@x!`!F"M"! ! ! @`@|@t@ sS`A1Z(؋۴ƭ +@@R @ؽκ΢@@0@߬A@@@.p 8A. p XA+ A@A +A(ͬع描A@p|A`A . 8A. XA+AA@B(ͬع扉hB@AA. 8A. XA+ B0BB(ȬȺ匌B@\B@B. B. XA+BBD$ټЏ C@BB ܹ描XC@ C$ɰӌC@ +LC!$٭ϐC@ +C#$˨ÜD@ +C%$Ͻϐ8D@ +C'$جՊD@ +,D). 8A.  XA+`DpD-D(̭ٸ玎E@DD+.  8A.  XA+DD2`E(̭ٸ爈E@  ED0.0 8A. 0 XA+@EPE7E(ɭɻ䍍ED@0|E`E5.@ B.@ XA+EE<G$ؽю0F@@EE: ݸ玎hFA@ P$F?$ȱҍF@ +`\FA$جΑF@ +pFC$ʩG@ +FE$μΑHG@ +GG$٭ԋGC@ +ix@>-0@k0- @C-@FZ +@0@-!@IZ@P`-,@LZ#@p- PPZ.@-PSZ P-P(VZPЦ-*P8YZ!P-`}Ч ` X. ` XA-}Ч h-. `J@@0xj0 Ч@0Ч@-- . `W 8Hpx SD09`(- +*******************************************X******************************************* +`x SH`07XЩ,*** ERROR: Watchdog Counter Expired ... ***X*** ... deripxE retnuoC godhctaW :RORRE ***@x SL@09`-******************************************* +X +*******************************************xY0Phxj(p@pB8.  w . wP.Hw0.xw`.xw. xw.0xwЬ.@xw .Pxw@.`xw`0.pxwP.xwp.xw.xw.xwЭ.xw .xw@.xw`0.xwP̡͢(p@ tYTJp ZЮ̠˔- +@p XZݮڅ- + @p 0Z -0Ap @Z@-@Ap P[`-PhBp +`8[-`Bp pp[-p Cp +[-XCp +[-Cp +\-Cp +P\ -Dp +\@-8Dp \`-Dp \-Ep 0]-Ep +h]-Ep ]-0Fp + ]- hFp +0^ -0Fp +@H^@-@Fp +P^`-PGp +`^-`HGp p^-pGp (_-(Hp `_-Hp +_-Ip _ -@Ip +`@-xIp +@``-Ip +x`-Ip +`- Jp +`-XJp  a-Jp Xa- 8Kp 0a -0Kp +@a@-@Lp Pb`-PPLp +`8b-`Lp +ppb-pLp +b-Lp +b-0Mp +c-hMp Pc -Mp c@-HNp c`-Np +c-(Op 0d-`Op +hd-Op +d-Op + d- Pp +0e -0@Pp +@He@-@xPp Pe`-PPp `e-`XQp pe-pQp +(f-8Rp `f-pRp +f-Rp +f -Rp +g@-Sp +@g`-PSp +xg-Sp g-Sp g-hTp  h-Tp + Xh- HUp 0h -0Up +@h@-@Up +Pi`-PUp +`8i-`(Vp +ppi-p`Vp +i-Vp i-Wp j-xWp Pj -Wp +j@-XXp j`-Xp +j-Xp +0k-Yp +hk-8Yp +k-pYp + k- Yp 0l -0Zp @Hl@-@Zp Pl`-PZp +`l-`h[p pl-p[p +(m-[p +`m-\p +m-H\p +m -\p +n@-\p @n`-(]p xn-]p n-^p +n-x^p  o-^p + Xo- ^p +0o -0 _p +@o@-@X_p +Pp`-P_p +`8p-`_p ppp-p8`p p-`p p-ap +q-ap Pq -ap +q@-ap +q`-0bp +q-hbp +0r-bp + hr- bp  r- Hcp r- cp 0 s -0 (dp +@ Hs@-@ dp P s`-P dp +` s-` ep +p s-p @ep + (t- xep + `t- ep + t- ep t - Xfp u@- fp @u`- 8gp + xu- gp u- gp +!u-!hp +! v-!Php + !Xv- !hp +0!v -0!hp +@!v@-@!hp P!w`-P!hip `!8w-`!ip p!pw-p!Hjp +!w-!jp !w-!jp +!x-!(kp +!Px -!`kp +!x@-!kp +!x`-!kp +!x-!lp !0y-!xlp "hy-"lp "y-"Xmp + "y- "mp 0"z -0"np +@"Hz@-@"8np +P"z`-P"pnp +`"z-`"np +p"z-p"np +"({-"op "`{-"op "{-"op "{ -"hpp +"|@-"pp "@|`-"qp +"x|-"Hqp +"|-"qp +#|-#qp +# }-#qp + #X}- #(rp 0#} -0#rp @#}@-@#sp P#~`-P#xsp +`#8~-`#sp p#p~-p# tp +#~-#Xtp +#~-#tp +#-#tp +#P -#up +#@-#8up #`-#up #-#vp #0-#vp +$h-$vp $-$0wp + $؀- $hwp +0$ -0$wp +@$H@-@$wp +P$`-P$xp +`$-`$Hxp p$-p$xp $(-$(yp $`-$yp $-$zp +$Ђ -$@zp $@-$xzp $@`-$zp $x-$zp $-$ {p %-%X{p % -%{p %X- %8|p 0% -0%|p @%Ȅ@-@%}p +P%`-P%P}p `%8-`%}p p%p-p%}p %-%}p %-%0~p %-%h~p %P -%~p %@-%Hp %`-%p %-%(p +%0-%`p &h-&p &-&Ѐp &؇- &p 0& -0&@p @&H@-@&xp P&`-P&p `&-`&Xp p&-p&Ȃp &(-&8p +&`-&pp &-&p &Љ -&p &@-&p &@`-&Pp &x-&p &-&p '-'hp ' -'؅p 'X- 'Hp +0' -0'p @'ȋ@-@'p P'`-P'p `'8-`'(p p'p-p'`p '-'p '-'p '-'xp 'P -'p '@-'Xp +'`-'p '-'ȉp '0-'p (h-(8p (-(pp (؎- ( ޼ Hh~@ ($Tp(Hh-(@p(- (@> (p(̨-(hBp(ɠ-(Ap((ȧҦ-(Ap)`@η-)XCp)hު-)Cp )А޻- )Bp0) հ-0) Cp@)@̯-@)CpP)xȺ-P)Dp`)0߫-`)8D X@ )DL^p)H-)@p)- )@> )p)-)Ep)-)Ep)(-)Dp)`8-)hFp)X-)Fp*Аx-*Ep*-*0Fp *@- *Fp0*x-0*Gp@*-@*HG @ p* |hpp*HP-p*@p*- *@> *pp*-*Hp*-*(Hp*(-*Gp*`-*xIp* -*Ip*А@-*Ip*`-*@Ip+@-+Ip+x-+ Jp +- +XJ `@ P+rpP+H-P+@p`+H- `+@> `+8pp+h-p+Kp+-+8Kp+(-+Jp+`-+Lp+-+Lp+А-+Lp+(-+PLp+@H-+Lp+xh-+0Mp,-,hM h@ 0,|p0,H-0,@p@,- @,@> @,pP,0-P,Np`,P-`,HNpp,(p-p,Mp,`-,Op,-,Op,А-,(Op,-,`Op,@-,Pp,x0-,@Pp,P-,xP 0t@ -d p-H--@p -- -@> -p0--0-Qp@--@-XQpP-(8-P-Pp`-`X-`-Rpp-x-p-Rp-А--8Rp---pRp-@--Sp-x--PSp---S @ -,<p-Hp--@p.- .@> .p.-.Tp .- .hTp0.(-0.Sp@.` -@.UpP.@-P.Up`.А`-`.HUpp.-p.Up.@-.(Vp.x-.`Vp.-.V }@ .lp.H8-.@p.h- .@> .Xp.-.Wp/-/xWp/(-/Wp /`- /Xp0/-0/Yp@/А(-@/XXpP/H-P/Xp`/@h-`/8Ypp/x-p/pYp/-/YٻP}@ 0p0H-0@p 08- 0@> 0(p00X-00Zp@0x-@0ZpP0(-P0Zp`0`-`0[pp0-p0\p0А-0h[p0-0[p0@8-0H\p0xX-0\p0x-0\H8@ 0 p0H-0@p1- 1@> 1p1-1^p 18- 1]p01(X-01(]p@1`x-@1^pP1-P1 _p`1А-`1x^pp1-p1^p1@-1X_p1x-1_p18-1_@ 1tp1H-1@p1- 1@> 1p1-1ap2-2`p2(-28`p 2`8- 2ap02X-020bp@2Аx-@2apP2-P2ap`2@-`2hbpp2x-p2bp2-2bЀ@ 2ܓ,p2HH-2@p2x- 2@> 2hp2-2(dp2-2cp2(-2Hcp3`-3ep3-3@ep 3А8- 3dp03X-03dp@3@x-@3xepP3x-P3ep`3-`3e@@ 3D\p3H-3@p38- 3@> 3(p3X-38gp3x-3fp3(-3Xfp3`-3hp3-3Php4А-4gp4-4gp 4@8- 4hp04xX-04hp@4x-@4hHt@ p4pp4H-p4@p4- 4@> 4p4-4Hjp48-4ip4(X-4hip4`x-4(kp4-4`kp4А-4jp4-4jp5@-5kp5x-5kp 58- 5lX@ P5pP5H-P5@p`5- `5@> `5pp5-p5Xmp5-5lp5(-5xlp5`8-58np5X-5pnp5Аx-5mp5-5np5@-5np5x-5np6-6o@ 06|p06HH-06@p@6x- @6@> @6hpP6-P6hpp`6-`6opp6(-p6op6`-6Hqp6-6qp6А8-6pp6X-6qp6@x-6qp6x-6qp6-6(r@ 7p7H-7@p 78- 7@> 7(p07X-07xsp@7x-@7spP7(-P7rp`7`-`7Xtpp7-p7tp7А-7sp7-7 tp7@8-7tp7xX-7up7x-78uHH@ 7LLp7H-7@p8- 8@> 8p8-8vp 88- 8vp08(X-08up@8`x-@8hwpP8-P8wp`8А-`8vpp8-p80wp8@-8wp8x-8xp88-8Hxr@ +8|p8H-8@p8- 8@> 8p8-8yp9-9(yp9(-9xp 9`8- 9xzp09X-09zp@9Аx-@9zpP9-P9@zp`9@-`9zpp9x-p9 {p9-9X{@ +9p9HH-9@p9x- 9@> 9hp9-9|p9-98|p9(-9{p:`-:}p:-:}p :А8- :}p0:X-0:P}p@:@x-@:}pP:x-P:0~p`:-`:h~h@ +:p:H-:@p:8- :@> :(p:X-:p:x-:Hp:(-:~p:`-:p:-:Ѐp;А-;(p;-;`p ;@8- ;p0;xX-0;@p@;x-@;xH@ +p; &pp;H-p;@p;- ;@> ;p;-;Ȃp;8-;Xp;(X-;p;`x-;p;-;p;А-;8p;-;pp<@-<p<x-<Pp <8- <|@ +P<T<0pP<H-P<@p`<- `<@> `<pp<-p<؅p<-<hp<(-<p<`8-<p<X-<p<Аx-<Hp<-<p<@-<(p<x-<`p=-=@ +0=l:p0=HH-0=@p@=x- @=@> @=hpP=-P=p`=-`=xpp=(-p=p=`-=ȉp=-=p=А8-=Xp=X-=p=@x-=8p=x-=pp=-=0Ʈhw@AD@BA0AS0 A + +HASH0%A +--------------------+8+--------------------+ BS0'B | Total ERRORS: %0d |@| d0% :SRORRE latoT | -2BPBS0%B` +--------------------+8+--------------------+ 4ݳڮϢǪ @pBPPDBX$Χ˧ B B B . +B `p +B B B( B. +B `p +B B B BH. +B `p +BX B B Bؓ. +B `p +B0 B B` Bh. +B `p +B( B B B. +B `p +BP C C0 C. +C `p +C C C C. +C `p +Cp`  C C C. + C `p + C 0C 0Ch 0C8. +0C `p +0C0 @C @C @Cȗ.@C `p@C( PC PC8 PC`.PC `pPC `C `C `C.`C `p`CXh pC pC pC.pC `ppC C Cp C(.C `pC8 C C C.C `pC $Š͒ C C0 CX C Cx C C C C C C C` C CP C D D D D D Dh  D D DؽϦz@ +D`REâѥ! DT@Tx`ܰѧ! D@Xxָ̣!(` D@\xP  DT@x  +D@  +D@ D,ܹˢĽ䆆 D-D`pD-DpD (-DpDXH.D XApDh. D XAp D x*@@Ed* `pJ! ET@bxH!XE@fx !E@jxX Ϡ! +E@nx Ѿ!E@rx8 PET@` +`E@ +pE@E@E@!  Ev!  E z.E 8A.E XA+@8 H  +¦dz  Et X ~ܸ٭ E X F8*-PF }8*PF +@ +.PF XA-PF -PFXU PFP +` +-PF }8*PF + +-PF .PF `JPF + +8*`F - pF +pF @  `  .F XAwP - F }  +Fp  -F -FJF   FF3 F  0 P .F `w - F }  +F@  -F -FJFx   FF3 Fp  + +( +.F +w - F }  +F + +-F -FJFP +` + FF3 FȞp +x + +.F Bw +- F }  +F +`-F -FJF( FF3 F 8P.F w- F }  +F8-F -FJF FF3 Fxp.F w`- F }  +F-F -FJF FF3 FПHh.F @w(- F }  +FX-F -FJF FF3 F( @.F w- F }  +F0-F -FJFhx FF3 F G GS0% GxPERROR: Illegal Master %0d8d0% retsaM lagellI :RORRE-- G0GY&-pG}H +pG(.pG XA- G +G8h.G XAwx-G}H G. Gx0.G `w-G}H G X. Gp@.G +w-G}H G. G @.G Bw-G}H G0h. G.G w-G}H G. G0P.G w -G}H G@x. G@ .G @w-G}H G. G@`.H w0-H}H HP. H- +0H * +0H.@H XAw- @H } +@H-@H -@HJ@H@P-@HJ@H`p @H@H3 @Hؠ.PH `w- PH } +PH-PH -PHJPH(8-PHJPHHX PHPH3 PH0h.`H +w- `H } +`Hx-`H -`HJ`H -`HJ`H0@ `H`H3 `HPx.pH Bw- pH } +pH`-pH -pHJpH-pHJpH( pHpH3 pH8`.H w- H } +HH-H -HJH-HJH HH3 H8 H.H wp- H } +H0-H -HJH-HJH HH3 H0h.H @wX- H } +Hx-H -HJH-HJH HH3 HPp.H w@- H } +H`-H -HJH-HJH HH3 H@ Hh.H @w(- H } +HX-H -HJH-HJH HH3 H 0 P .H 0w - H } +H@  -H -HJHx  -HJH   HH3 H !!8!.H w!- H }H(!!-H -HJH`!p!-HJH!! HH3 HH!""0".H " w!- H }H ""-H -HJHX"h"-HJHx"" HH3 H"##(#.I # w"- I }I##-I -IJIP#`#-IJIp## II3 I#$$ $.I # +w#- I }I$$-I -IJIH$X$-IJIh$x$ II3 IP$%$%. I $w$- I } I%%- I - IJ I@%P%- IJ I`%p%  I I3  I%&%&.0I %w%- 0I }0I&&-0I -0IJ0I8&H&-0IJ0IX&h& 0I0I3 0Ix&&PI&'& `IS '0$`Ih'@'ERROR: Illegal Slave %0d0d0% evalS lagellI :RORRE-+`I &pIY)-I -I R I''*I0()( JS+0>J((3ERROR: Master[%0d][%0d]: %h - Slave[%0d]: %h (%0t)h)t0%( h% :]d0%[evalS - h% :]d0%[]d0%[retsaM :RORRE-J- +J -J -J -J 6&JX0(- + JP}( J)*- JP. J `J J)) +I'( PF +p + + + X 0hxz@ +J*$hp+Q!*x*J ɬ!H+x* +J*.J 8A.J XA+@++iȩݼ*x*J<+ +x*J+,p+KS0,0K++ + + + +-p+ KS4-0@ K,P,6*****************************************************p*****************************************************.p+0KS8.0@0K-P-6*** Arb. 1 Test ... ***p*** ... tseT 1 .brA ***/p+@KS<P00B@K.P.7***************************************************** +p +*****************************************************-`K*}dp+`K/8/.`K -pK*}dpKH//. +pK XA-pK*.pK UpK//-pK*}dpK/0-pK*.pK `JpK/0dKP00(0KS@x40KP00 Delay: %0dd0% :yaleD-K*81(0 Kٻ K K1(0 KH. +K 1p +Kh1.KHpK(1."K 1p"Kh1H`(0K20091Kh2,ݿıݩ KK32KT.K XA."K XA.(K 2]%K22JK22pK2.,KHp,K83-4K*p4Kp(3.7K p7KH3ٻ L +L52 +Ld.L 1pL@3.LHpLx3-%LH+p%L4-LH+.L 1R L 4045 Lx4h5P4 +0LSp<040L844(ERROR: RF read mismatch: Exp. 0, Got %hPh% toG ,0 .pxE :hctamsim daer FR :RORRE-:0LH+- @LP}P4 +@LX55-@LP.@L `J@L5562L@4P4,ݿעκ `L`L(72`Lx.`L X6."`L XA.(`L 2]%`L`6p6J`L@66p`LH6.,`LHp,`L6-4`L*p4`L6.7`L p7`L6 pLpL082pL.pL ."pL XA.(pL 2]%pLh7x7JpLX77ppL7.,pLHp,pL87-4pL*p4pLp7.7pL p7pL7 LL2L.L x80."L XA.(L 2]%L88JL`88pLH8.,LHp,L8-4L*p4L8.7L p7L9(@1Lp9 LL:09L(.L 9."L XA.(L 2]%L99JL99pL9.,LHp,L:-4L*p4L0:.7L p7L0P: LL;09Lh.L :."L XA.(L 2]%L::JL::pLȬ;.,LHp,L(;-4L*p4L8H;.7L p7Lph; L +L=09 +L.L 1pL;.LHpL8;-%LH+p%Lp<-LH+.L 1R L0<@<= M<x=`< +MSD04M8=<(ERROR: RF read mismatch: Exp. 0, Got %hPh% toG ,0 .pxE :hctamsim daer FR :RORRE-:MH+- MP}`< + Mh==- MP. M `J M==>09LP<`< @M@M(?09@M(.@M X> ."@M XA.(@M 2]%@M`>p>J@M@>>p@M>.,@MHp,@M>-4@M*p4@M>.7@M p7@M0> PMPM09PMh.PM p?0."PM XA.(PM 2]%PMx??JPMX??pPMȬ?.,PMHp,PM?-4PM*p4PM8?.7PM p7PMp@ G1Mh@ MMA(@M.M @."M XA.(M 2]%M@@JM@@pM@.,MHp,M@A-4M*p4Mx(A.7M p7MHA MMB(@M .M A."M XA.(M 2]%MAAJMAApMHB.,MHp,M B-4M*p4M@B.7M p7M`B MMC(@M.M B ."M XA.(M 2]%MBBJMBCpMC.,MHp,M@8C-4M*p4MxXC.7M p7MxC M +ME(@ +M(,.M 1pMC.MHpMD-%MH+p%M D-MH+.M 1R M@DPDE MDEpD +MS8L04M8ED(ERROR: RF read mismatch: Exp. 0, Got %hPh% toG ,0 .pxE :hctamsim daer FR :RORRE-:MH+- NP}pD +NxEE-NP.N `JNEE F(@M`DpD  N N(@ N@. N hF0." N XA.( N 2]% NpFFJ NPFFp NHF., NHp, NF-4 N*p4 NF.7 N p7 NG(N1PN`G `N`NxH G`N(P.`N G."`N XA.(`N 2]%`NGGJ`NGGp`NG.,`NHp,`NH-4`N*p4`N H.7`N p7`N0@H pNpNI GpNh`.pN H."pN XA.(pN 2]%pNHHJpNHHppNȳH.,pNHp,pNI-4pN*p4pN88I.7pN p7pNpXI NNJ GN(p.N I ."N XA.(N 2]%NIIJNIJpNJ.,NHp,N0J-4N*p4NPJ.7N p7N0pJ NNK GNh.N J0."N XA.(N 2]%NJKJNJKpNȳ(K.,NHp,NHK-4N*p4N8hK.7N p7NpK N +NN G +N.N 1pNK.NHpN8L-%NH+p%Np0L-NH+.N 1R NPL`LN NLML +NS e07NP0ML+ERROR: RF read mismatch: Exp. a5ff, Got %hXh% toG ,ff5a .pxE :hctamsim daer FR :RORRE-=NH+- NP}L +NMM-NP.N `JNMM GNpLLR1 OhN 0O0OO(N0O.0O N."0O `.(0O 2]%0ONNJ0ONNp0ON.,0OHp,0O@O-40O*p40Ox(O.70O p70OHO @O@OP(N@O.@O O."@O `.(@O 2]%@OOOJ@OOOp@OHP.,@OHp,@O P-4@O*p4@O@P.7@O p7@O`P POPOQ(NPO.PO P ."PO `.(PO 2]%POPPJPOPQpPOQ.,POHp,PO@8Q-4PO*p4POxXQ.7PO p7POxQ `O`O(N`O.`O Q0."`O `.(`O 2]%`ORRJ`OQ Rp`OH0R.,`OHp,`OPR-4`O*p4`OpR.7`O p7`OR8W1OR OOTRO(.O 8S."O `.(O 2]%O@SPSJO S`SpOpS.,OHp,OS-4O*p4OS.7O p7O0S OO UROh.O PT."O `.(O 2]%OXThTJO8TxTpOȹT.,OHp,OT-4O*p4O8T.7O p7OpT OO8VRO(.O hU ."O `.(O 2]%OpUUJOPUUpOU.,OHp,OU-4O*p4OU.7O p7O0V OOROh.O V0."O `.(O 2]%OVVJOhVVpOȹV.,OHp,OV-4O*p4O8V.7O p7OpW[1PxW PPX8WP$.P W.#P %.)P 2]&PWWJPWWpPW.-PHp-P@X-5P*p5Px8X.8P p8PXX  P PY8W P4. P X.# P %.) P 2]& PXXJ PXYp PHY.- PHp- P0Y-5 P*p5 PPY.8 P p8 PpY 0P0PZ8W0PD.0P Y .#0P %.)0P 2]&0PYZJ0PYZp0P(Z.-0PHp-0P@HZ-50P*p50PxhZ.80P p80PZ @P@P8W@PT.@P [0.#@P %.)@P 2]&@P[ [J@PZ0[p@PH@[.-@PHp-@P`[-5@P*p5@P[.8@P p8@P[1pP\ PP][P(d.P H\.#P %.)P 2]&PP\`\JP0\p\pP\.-PHp-P\-5P*p5P\.8P p8P0\ PP0^[Pht.P `].#P %.)P 2]&Ph]x]JPH]]pPȾ].-PHp-P]-5P*p5P8].8P p8Pp] PPH_[P(.P x^ .#P %.)P 2]&P^^JP`^^pP^.-PHp-P^-5P*p5P^.8P p8P0_ PP[Ph.P _0.#P %.)P 2]&P__JPx__pPȾ_.-PHp-P_-5P*p5P8`.8P p8Pp(``(0P .P XApP`x`. +P XAp +P`. +P X6p +P`ha(0Q .Q `pQ`a. +Q XAp +Q(a. +Q X6p +QHaa(0Q .Q +pQ`a. +Q XAp +Qa. +Q X6p +Qab(0 Q . Q Bp Q`(b. + Q XAp + QHb. + Q X6p + Qhbc(00Q .0Q p0Q`b. +0Q `p +0Qb. +0Q X6p +0Qbc(0@Q .@Q p@Q`Hc. +@Q `p +@Qhc. +@Q X6p +@Qc8d(0PQ .PQ @pPQ`c. PQ %p PQc.PQ X6pPQd(0`Q .`Q p`Q`hd. `Q %p `Qd.`Q X6p`Qddp+pKX///(0 ep+ Q fp+QS f0@Qepe6*****************************************************p***************************************************** gp+QS g0@Qfpf6*** Test DONE ... ***p*** ... ENOD tseT ***p+QS j0DQgpg8***************************************************** + +p + +***************************************************** *H+x@ +R\hhxjb!h0h 0Rdh!h0h0R*޷!hh0h0Rh!i0h @R !Hihh0h @Ri!ih0h@RDi.PR XA. +PR +@xiiiAPjHi0hPRtii.`R `.`R XA+@ii 8j. `R XA.`R +@j(j i0h +`Rji8j0hRjkxjRSk0Rkj + + + +lxjRSl0@RkXk6*****************************************************p*****************************************************mxjRSm0@RlXl6*** Arb. 2 Test ... ***p*** ... tseT 2 .brA ***nxjRSq0BRmXm7***************************************************** +p +*****************************************************-Sh}`nxjSn@n.S -Sh}nxjSPnn.S XA- Shh}0xj Snn. S XA-0Shh}00Sno.0S XA-0Shh. 0S U 0S(o8o- +0Shh}00SXoo-0Shh.0S `J0Soo-@Sh}@Soo.@S XA- @Sh.@S U +@Spp-@Sh}@S8pp-@Sh.@S `J@Sppp-PSh}PSpp.PS `- PSh.PS U +PSpp-PSh}PSqpq-PSh.PS `JPSPq`q`Sqqq SrqSS 0'SPr(rMode: %0d del: %0d, siz: %0d8d0% :zis ,d0% :led d0% :edoM-*Shh-/Sh-4Sh-ShhqSrrpvss.S XAwrrShs.S 3SPj@s}ssSPss. Ss.S @3SPjs}HtsSst. St.T 3TPj t}tsT0tpt. Tx.T 3TPjt}usTtt. Ts. T B3 TPjt}xus Tt0u. THu.0T +30TPjPu}us0T`uu. 0Tt.@T `3@TPju}8vs@Tuv. @THu.PT XA3PTPjv}sPT v`v. PTxyvv.T wvrTv.T 3TPjv}PwvTvw. THu.T @3TPj(w}wvT8wxw. Tt.T 3TPjw}xvTww. Tx.T 3TPjw}pxvTw8x. Ts.T B3TPjHx}xvTXxx. Ts.T +3TPjx}0yvTxx. Tx.U `3UPjy}yvUyXy. Ut.U XA3UPjhy}vUxyy. UHu }yy.@U @wyrPUHz.`U 3`UPj z}zy`U0zpz. `Us.pU @3pUPjz}{ypUzz. pUx.U 3UPjz}h{yUz0{. Ut.U 3UPj@{}{yUP{{. UHu.U B3UPj{}(|yU{{. UHu.U +3UPj|}|yU|P|. Ut.U `3UPj`|}|yUp||. Ux.U XA3UPj|}yU|}. Usx@}P}.V #w0}rV}. V 3 VPjx}}~P} V}}. Vs.0V @30VPj}}`~P}0V}(~. 0Vs.@V 3@VPj8~}~P}@VH~~. @Vs.PV 3PVPj~} P}PV~~. PVHu.`V B3`VPj~}P}`VH. `VHu.pV +3pVPjX}P}pVh. pVHu.V `3VPj}@P}V. Vt.V XA3VPj}P}V(h. VtЃ.V X6wrV.V 3VPjЀ}XV . VHu.V @3VPj0}V@. VHu.W 3WPj}W. WHu.W 3WPj}xW@. WHu. W B3 WPjP}؂ W`. Wt.0W +30WPj}80W. 0Wt.@W `3@WPj}@W `. @Ws.PW XA3PWPjp}PW. PWs8.W wrW`.W 3WPj8}WH. Ws.W @3WPj} W. WHu.W 3WPj}WH. Wx.W 3WPjX}Wh. WHu.W B3WPj}@Wȅ. Wt.W +3WPj}W(h. WHu.X `3XPjx}XȆ. XHu.X XA3XPj؆}X(. XHuhx.@X `wHrPXȇ.`X 3`XPj}(x`X. `XHu.pX @3pXPj}xpXP. pXHu.X 3XPj`}xXp. Xt.X 3XPj}HxXЈ. XHu.X B3XPj }xX0p. XHu.X +3XPj}xXЉ. Xx.X `3XPj}hxX0. XHu.X XA3XPj@}xXP. XsЊ.Y 2wrY . Y 3 YPj}Њ YH. YHu.0Y @30YPjX}Њ0Yh. 0YHu.@Y 3@YPj}@Њ@Yȋ. @Yt.PY 3PYPj}ЊPY(h. PYHu.`Y B3`YPjx}Њ`YȌ. `YHu.pY +3pYPj،}`ЊpY(. pYHu.Y `3YPj8}ЊYH. YHu.Y XA3YPj}ЊY. YsrYX.Y 3YPj0}Y@. Y 3 YPj.Y `JY.Y @3YPjȎ}Y؎P. Y @3 YPj.Y `JY(@.Z 3ZPj`} Zp. Z 3 ZPj.Z `JZ؏.Z 3ZPj}Z. Z 3 ZPjH.Z `JZXp. Z B3 ZPj}P Z. Z B3 ZPj. Z `J Z.0Z +30ZPj(}0Z8. 0Z +3 0ZPjx.0Z `J0Z.@Z `3@ZPj}@ZБH. @Z `3 @ZPj.@Z `J@Z 8.PZ XA3PZPjX}PZh. PZ XA3 PZPj.PZ `JPZВ Z Zq Z0. +Z 1p +Z8.ZHpZ(X.#Z1!ZL..Z 3,ZPj.4Z @32ZPj.:Z 38ZPj.Z 3ZPj. Z B3 ZPj8.Z +3ZPj`.Z `3ZPj.Z XA3ZPjp!Zh- +Z@k Z|0qZ<jZ qZ`0Z!- Zh-Z@kZ|Z@jZ`Z [[ `[D.[ XAp[HH.-[Hp-[h-5[hp5[-:[hp:[- [h-[@k[ؖ| [Tj[X`[Ȗ  [ [` [X. [ XA-$ [h.) [ L& [J [p [ȗ.- [Hp- [8-5 [hp5 [p-: [hp: [(- 0[h-0[@k0[X|0[hj0[hxؘ`0[Hx @[@[ `@[l.@[ XA-$@[h.)@[ @L&@[(J@[8p@[HH.-@[Hp-@[h-5@[hp5@[-:@[hp:@[- P[h-P[@kP[ؙ| P[|jP[X`P[ș `[`[p``[.`[ XA-$`[h.)`[ #L&`[J`[p`[Ț.-`[Hp-`[8-5`[hp5`[p-:`[hp:`[(.p[ XA3p[iH}`p[X6 +p[X0h0[#8- [h-[@k[|8[j[p[ [[x[h.[ 9p[Ȭ.-[Hp-[-5[hp5[8-:[hp:[p- [h-[@k[0|x[j[@P[ P [[[(.[ 9-$[h.)[ L&[J[p[ .-[Hp-[@-5[hp5[`-:[hp:[0- [h-[@k[|[j[О0[О \\x\h.\ 9-$\h.)\ @L&\pJ\`p\Ȭ.-\Hp-\-5\hp5\8-:\hp:\p- \h-\@k\0|x\j\@P\ P  \ \ȡ \(. \ 9-$ \h.) \ #L& \J \p \ .- \Hp- \@-5 \hp5 \`-: \hp: \0.0\ `30\i}0\6 +0\XH0hh0`\%- p\h-p\@kp\H|p\jp\XhȢp\8h \\У\.\ @p\H.-\Hp-\-5\hp5\8-:\hp:\X- \h-\@k\|У\j\\x \\P\.\ @-$\h.)\ L&\HXJ\8hp\x.-\Hp-\@-5\hp5\x-:\hp:\ؤ- \h-\@k\|P\j\(\( \\Ц\ .\ @-$\h.)\ @L&\ȥإJ\p\H.-\Hp-\-5\hp5\8-:\hp:\X- \h-\@k\|Ц\j\\x \\ \ .\ @-$\h.)\ #L&\HXJ\8hp\x.-\Hp-\@-5\hp5\x-:\hp:\ا.\ +3\i}\H6 +\X0h0 ]'- 0]h-0]@k0]|0]0j0] h0] @]@](h@]h4.@] Gp@]ȳP.-@]Hp-@]p-5@]hp5@]8-:@]hp:@]p- P]h-P]@kP]|(P]DjP]`hP]Щ `]`]h`](H.`] G-$`]h.)`] L&`]J`]p`]Ъ.-`]Hp-`]-5`]hp5`]-:`]hp:`]00- p]h-p]@kp]`|p]Xjp]php]P ]](h]h\.] G-$]h.)] @L&] 0J]@p]ȳP.-]Hp-]p-5]hp5]8-:]hp:]p- ]h-]@k]|(]lj]`h]Ь ]]xh](p.] G-$]h.)] #L&]J]p]Э.-]Hp-]-5]hp5]-:]hp:]00.] B3]iP}h]`6 +]X0h0])@- ]h-]@k]|@]j]x] ^^^.^ Np^H.-^Hp-^ȯ-5^hp5^-:^hp:^- ^h-^@k^8|^j^HX^(X  ^ ^ ^. ^ N-$ ^h.) ^ L& ^J ^p ^(.- ^Hp- ^@H-5 ^hp5 ^xh-: ^hp: ^- 0^h-0^@k0^|0^j0^ȱر80^ر @^@^@^.@^ N-$@^h.)@^ @L&@^xJ@^hp@^H.-@^Hp-@^Ȳ-5@^hp5@^-:@^hp:@^- P^h-P^@kP^8|P^jP^HXP^(X `^`^д`^.`^ N-$`^h.)`^ #L&`^J`^p`^(.-`^Hp-`^@H-5`^hp5`^xh-:`^hp:`^.p^ 3p^i}p^6 +p^XP0hp0^+- ^h-^@k^P|^j^`pе^@p ^^ض^(.^ 8Sp^.-^Hp-^ -5^hp5^@-:^hp:^0`- ^h-^@k^|ض^j^^ ^^X^h.^ 8S-$^h.)^ L&^P`J^@pp^ȹ.-^Hp-^-5^hp5^8-:^hp:^p- ^h-^@k^|X^j^ 0^0 __ع_(._ 8S-$_h.)_ @L&_иJ_p_.-_Hp-_ -5_hp5_@-:_hp:_0`- _h-_@k_|ع_ j__  _ _( _h. _ 8S-$ _h.) _ #L& _P`J _@pp _ȹ.- _Hp- _-5 _hp5 _8-: _hp: _p.0_ 30_i}0_P6 +0_X0h0`_-- p_h-p_@kp_|p_ jp_Ȼ(pp_Ȼ __0p_$._ Wp_X.-_Hp-_@x-5_hp5_x-:_hp:_- _h-_@k_|0_4j_hp_ؼ __p_8._ W-$_h.)_ L&_J_Ƚp_Hؽ.-_Hp-_-5_hp5_-:_hp:_8- _h-_@k_h|_Hj_xp_X __0p_L._ W-$_h.)_ @L&_(8J_Hp_X.-_Hp-_@x-5_hp5_x-:_hp:_- _h-_@k_|0_\j_hp_ؿ __p_`._ W-$_h.)_ #L&_J_p_H.-_Hp-_-5_hp5_-:_hp:_8._ @3_iX}p_h6 +_X0h0 `/H- 0`h-0`@k0`|H0`pj0` 0`  @`@`@`(t.@` H\p@`.-@`Hp-@`-5@`hp5@`-:@`hp:@`0- P`h-P`@kP`@|P`jP`P`P`0` ``````h.`` H\-$``h.)`` L&``J`` p``Ⱦ0.-``Hp-``P-5``hp5``8p-:``hp:``p- p`h-p`@kp`|p`jp`@p` ```(.` H\-$`h.)` @L&`J`pp`.-`Hp-`-5`hp5`-:`hp:`0- `h-`@k`@|`j`P``0` ```h.` H\-$`h.)` #L&`J` p`Ⱦ0.-`Hp-`P-5`hp5`8p-:`hp:`p.` 3`i}`6 +`X0hqa .a XApa`P. +a XAp +ap-ah.a Lapaqa .a `pa`. +a XAp +a -ah.a La@Ppa`0q a . a +p a`. + a XAp + a- ah. a L ap aq0a .0a Bp0a``. +0a XAp +0a-0ah.0a L0ap0aq@a .@a p@a`. +@a XAp +@a0-@ah.@a L@aP`p@ap@qPa .Pa pPa`. +Pa XAp +Pa-Pah.Pa LPapPa q`a .`a @p`a`p. +`a XAp +`a-`ah.`a L`ap`aqpa .pa ppa` . +pa XAp +pa@-pah.pa Lpa`pppa-ai}a.a XA- ai. a @U +a- +ai}ap-ai.a `JaP`-aHi}a.a XA- aHi. a @U +a- +aHi}aP-aHi.a `Ja0@-ai3ai`-aHi3 +aiU ap-ai3aPj- aHi3aPjVaYa-)ai3'aPj0-1aHi3/aPjXP-a@hY$a h a +aS 0;apP/ERROR: Master %0d compleated before Master %0d`d0% retsaM erofeb detaelpmoc d0% retsaM :RORRE->ai-AaHi +aS,0,aH  M[%0d] pri: %0d (t: %0t)@)t0% :t( d0% :irp ]d0%[M -/ai-4ai32aPj-:ai38ai +aS<0,a`8 M[%0d] pri: %0d (t: %0t)@)t0% :t( d0% :irp ]d0%[M -/aHi-4aHi32aPj-:aHi38ai- bP} +bX-bP.b `Jb8Haahqa(PSpq(qq0@So(pHpXxj0SnHohoxj @bxjPbSL0@Pb6*****************************************************p*****************************************************xj`bSP0@`b6*** Test DONE ... ***p*** ... ENOD tseT ***xjpbST0Dpb8***************************************************** + +p + +***************************************************** hPji(x*@ bhM j!(c O.c B.c XA+@W P cSxc Zct^(cܓbPcDfxcj@#cn'c|r0chPcSX0Pc + + + +h`cS\0@`cH6*****************************************************p*****************************************************hpcS`0@pcH6*** Datapath 1 Test ... ***p*** ... tseT 1 htapataD ***hcSdp0BcH7***************************************************** +p +*****************************************************-c(}Phc0.c XA-cP}hc@x.c `-cx}hc.c +-c}(hc.c B-c}phcP.c -c}hc`.c -d}hd.d @-d@} hd(.d -0d} 0d8p.0d XA-0d. 0d X6U 0d- +0d} 0d-0d.0d `J0d @d@p Pd`dSh +0`dH +Mode: %0dd0% :edoM-`dpd0xdp ddx0dp.d XA-#d(.)d 2]&dJdpdH.-dHp-d.3d XAp3d .6d p6d@ dd0d.d X6-#d(.)d 2]&dJdpd.-dHp-d8.3d XAp3dp(.6d p6dH dd0d.d -#d(.)d 2]&dJdpdH.-dHp-d.3d XAp3d0.6d p6dP dd0d.d x8-#d(.)d 2]&dJdpd.-dHp-d8.3d XAp3dp8.6d p6dXe  e ex e(. e 9-# eP.) e 2]& eJ ep e(.- eHp- eH.3 e XAp3 eh.6 e p6 e0 0e0ex0eh.0e :-#0eP.)0e 2]&0eJ0e p0eȬ0.-0eHp-0eP.30e XAp30e8p.60e p60ep @e@ex@e(.@e X>-#@eP.)@e 2]&@eJ@e(p@e8.-@eHp-@eX.3@e XAp3@ex.6@e p6@e0 PePexPeh.Pe p?-#PeP.)Pe 2]&Pe JPe0pPeȬ@.-PeHp-Pe`.3Pe XAp3Pe8.6Pe p6Pepe eee.e @-#ex.)e 2]&e@PJe0`peHp.-eHp-e.3e XAp3e.6e p6e eee.e A-#ex.)e 2]&eHXJe8hpex.-eHp-e@.3e XAp3ex.6e p6e eee.e B-#ex.)e 2]&eP`Je@ppeH.-eHp-e.3e XAp3e.6e p6e eee .e hF-#ex.)e 2]&eXhJeHxpe.-eHp-e@.3e XAp3ex.6e p6ePeH ffPf(0.f G-#f.)f 2]&fJfxpf.-fHp-f.3f XAp3f.6f p6f0 ffXfh@.f H-#f.)f 2]&fJfpfȳ.-fHp-f.3f XAp3f8.6f p6fp   f f` f(P. f I-# f.) f 2]& fJ fp f.- fHp- f.3 f XAp3 f.6 f p6 f0( 0f0f0fh`.0f J-#0f.)0f 2]&0fJ0fp0fȳ.-0fHp-0f.30f XAp30f8.60f p60fp0`f pfpfPpfp.pf N-#pf.)pf 2]&pfJpfppfH.-pfHp-pf .3pf XAp3pf@.6pf p6pf` ffPf.f O-#f.)f 2]&fJfpf.-fHp-f@(.3f XAp3fxH.6f p6fh ffPf.f P-#f.)f 2]&fJfpfH.-fHp-f0.3f XAp3fP.6f p6fp ffPf.f Q-#f.)f 2]&fJfpf.-fHp-f@8.3f XAp3fxX.6f p6fxf fffh.f 8S-#f.)f 2]&f(Jf8pfȹH.-fHp-fh.3f XAp3f8.6f p6fp fff(.f PT-#f.)f 2]&f 0Jf@pfP.-fHp-fp.3f XAp3f.6f p6f0 gggh.g hU-#g.)g 2]&g(8JgHpgȹX.-gHp-gx.3g XAp3g8.6g p6gp ggg(.g V-#g.)g 2]&g0@Jg Ppg`.-gHp-g.3g XAp3g.6g p6g0(@g  PgPg(Pg.Pg W-#Pg.)Pg 2]&Pg`pJPgPpPg.-PgHp-Pg@.3Pg XAp3Pgx.6Pg p6Pg `g`g0`g.`g X-#`g.)`g 2]&`ghxJ`gXp`gH.-`gHp-`g.3`g XAp3`g.6`g p6`g pgpg8pg.pg Y-#pg.)pg 2]&pgpJpg`ppg.-pgHp-pg@.3pg XAp3pgx.6pg p6pg ggg .g [-#g.)g 2]&gxJghpgH.-gHp-g.3g XAp3g.6g p6ggh ggp(g(0.g H\-#g@.)g 2]&gJgpg.-gHp-g.3g XAp3g.6g p6g08 ggx(gh@.g `]-#g@.)g 2]&gJgpgȾ.-gHp-g.3g XAp3g8 .6g p6gp@ gg(g(P.g x^-#g@.)g 2]&gJgpg.-gHp-g.3g XAp3g(.6g p6g0H gg(gh`.g _-#g@.)g 2]&gJgpgȾ.-gHp-g.3g XAp3g80.6g p6gpP@h p.@h XAp@h`- @h(p @h.@h X6p@hPh |.Ph `pPh`0- PhPp PhP.Ph X6pPhp `h .`h +p`h`- `hxp `h.`h X6p`hph .ph Bpph`P- php php.ph X6pph@h .h ph`- hp h.h X6ph h .h ph`p- hp h.h X6ph`h .h @ph`- hp h .h X6ph@ h .h ph`- h@p h.h X6ph- +h@k h|H hjh  -h(} h8  -h(. h `J hp  -iP} +i  -iP. i `J i  -ix} +i +` +-ix. i `J i@ +P +- i} + ip + +- i. i `J i + +-0i}P 0i +0 -0i. 0i `J 0i  -@i} @i@  -@i. @i `J @ix  -Pi} Pi  -Pi. Pi `J Pi  -`i@} `i h -`i@. `i `J `iH X - +i@k ix |iji   h0dH +h ihiS0@i +h +6*****************************************************p*****************************************************hiS0@ih6*** Test DONE ... ***p*** ... ENOD tseT ***hiS0Dih8***************************************************** + +p + +***************************************************** @40h@ @jX3` o!( +`j* !X( pj !( +pj !(pj !H(pj !x(pjD !(pjt !(!pj !8H(%pj.j B.j XA+@4( +jdh (j`jS0j + + + +`jS0@j@6*****************************************************p*****************************************************`jS0@j@6*** Datapath 2 Test ... ***p*** ... tseT 2 htapataD ***`jSp0Bj@7***************************************************** +p +*****************************************************-kX}0`k(.k XA- kX}0 k8p. k XA- kX. k U + k- kX}0 k- kX. k `J k00k@p @kPkS00PkP Delay: %0dd0% :yaleD-PkXx0pk0k-k}kX. k XA- k.k X6U +k-k}k(-k.k `Jk kkk.k XA.$k XA-'kJ%k..k 2]+kJ k-5k.8k ]7kJ1kp1k .-p84.p `Jp==-p`40Dp>@>p?`>>.p XAwP>  pp >p.p 1-#p84.(p +]&p>>J p>>p p8>.,pHp,pp?-8p8436p58?p6pH?@??.p `w?  pp >p.p 1-#p84.(p +]&p?@J p?@p p8(@.,pHp,ppH@-8p8436p5h@p6px@A@@.p +w@  pp >p.p 1-#p84.(p +]&p(A8AJ pAHAp p8XA.,pHp,ppxA-8p8436p5Ap6pACAB.p BwA  pp >p.p 1-#p84.(p +]&pXBhBJ pHBxBp p8B.,pHp,ppB-8p8436p5Bp6pB CHC.q wC  qq >q.q 1-#q84.(q +]&qCCJ qxCCp q8C.,qHp,qpC-8q8436q5Cp6qDXK:p@=== >-0q84}XK0qXDD.0q XA- 0q84. 0q X6U +0qDD-0q84}XK0qD(E-0q84.0q `J0qEE-@q`4XK@q8EhEFEE.Pq XAwxE  PqPqHEPq.Pq 1-#Pq84.(Pq +]&PqEFJ PqEFp Pq8 F.,PqHp,Pqp@F-8Pq8436Pq5`Fp6PqpFGFF.`q `wF  `q`qHE`q.`q 1-#`q84.(`q +]&`q G0GJ `qG@Gp `qPG.,`qHp,`q8pG-8`q8436`q5Gp6`qpGHGH.pq +wG  pqpqHEpq.pq 1-#pq84.(pq +]&pqPH`HJ pq@HpHp pq8H.,pqHp,pqpH-8pq8436pq5Hp6pqH(JI@I.q BwI  qqHEq.q 1-#q84.(q +]&qIIJ qpIIp q@I.,qHp,qxI-8q8436q5Ip6qJHJpJ.q w8J  qqHEq .q 1-#q84.(q +]&qJJJ qJJp q8J.,qHp,qpK-8q8436q5 Kp6q0KO:0qhDDDHE-q84}OqKK.q XA- q84. q X6U +qKK-q84}OqKPL-q84.q `Jq0L@LOqL-q 6}L`LqLL-q843 +q5L-qX6}N`LqL0M-q843 +q5 M-r 6-rX6R rHMXMN rMxM + rS,O0A r0NM5ERROR: RF[%0d] Mismatch. Expected: %h, Got: %h (%0t)h)t0%( h% :toG ,h% :detcepxE .hctamsiM ]d0%[FR :RORRE-0r84- 0rX6-0r 660rXM4`LrhMxM:qKKL`L`O60p :x:::O6 rP6rS@P0@r PO6*****************************************************p*****************************************************Q6rSDQ0@r QP6*** Test DONE ... ***p*** ... ENOD tseT ***6rSH0Dr RQ8***************************************************** + +p + +***************************************************** X6 65 n8xLHxh^P((Hh0؅HHPwXz8nS}xeHH4(o(@}ȉqj@zPxXJS  S0 0T T:T \ThTD$šĨɰxTT0TTN$Ġũȱ T8U@ UUX$ǣƪ˲t(UUPdUpUb$ƢǫʳܓUU`UUl$ʹDU@VpV Vv$̵0VVlVxV$ç®϶VVVV$¦ïη|VHWW(W$̠ͩ8WWtWW$̨͡LWW WW(WPX $X0X(@XX |XX(XY XX(XXY ,Y8Y(THYY YY(Y @LYL ̫Z̫Į HZĮ  Z 0|A Z|A @A ZA P\B ([\B +`B `[B pC [C +LC [LC +C \C +C @\C +C x\C +,D \,D D \D  E ] EPX |E X]|E +E ]E $F ]$F + \F ^\F +0F 8^F +@F p^F +PG ^G +`0ܺӿӌD40h +B|H|P B$0R0ݻҾҍ@4 +B|x\| Cf0޸ѽюЕ4P +C|p|p CDPz0߹мЏ|`4 +C|| CԖ0ж߳߀48p + C|(| 0Cdp0ѷ޲ށL4ȗ8 +0C||( @C4հ4`ȗ@C|P| PC4Ա4(`PC||X `C$84ײH4`C|| pCЙ4ֳ4(XpC|| CTh4ѴTx4(C||  C4е4XC|H$| C.0ƫΣ$ Cܛ80ǪϢD` C4@B0ĩ̡ P CL0Ũ͠ CV0¯ʧh D<H`0î˦dX Dj0ȥ, Dt0ɤpFDP~ݰո$` ȞFܱԹD  F߲׺  xFLX޳ֻh ПFٴѼ (Fصнd FT`۶Ӿ,p ؠFڷҿȠ 0@Hî˦  PH\h¯ʧ x `HɤtС 8pH ȥܓ( HdpǪϢD HȢ ƫΣآ @H  + Ũ͠0 Hlx ĩ̡| HģУ ˦î HH(( ʧ¯L8 Ht2   H̤ؤ<   PI$0F  @ I|P    IԥZ  T X0I,8d  H &J|)n |) KȦx (ٮ̓䖧Dئ1(  +K| |hK$P $"Kd dĶ 8ijюǪ߳$D28K|( |p(,K$` $h4Kd d7Kب @ +L  (د͒$0l3xL|h |8L$ $p%Ldة dH`L( 8ijюԹ̠$85@`L|p +|x,`L$ +$4`Ld +d(7`L" +LTh, +8ŲЏո͡Dx5H(L|6 +|(,L$@ +$0(4Ld J +dh(7LXT +ȬL^ +8ŲЏƫ޲DD2hL|h +|8h,L$(r +$ph4Ld`| +d0h7L + +Lԭ +(ٮ̓ᅴDl38ȬL|( +|pL$` +$8%Ld +dMԮ +8ƱӌŨݱ D2@M|0 +|x8,M$h +$p4Md +dp7Mد +HM( +8Ʊӌֻ΢ 85M|p +|@,M$ +$x4Md d(7M  +MT` (ڭϐ↷ pl3H(M|& |(M$0 $((%Md: d`NThD 8ǰҍ׺ϣx5(`N|N |(,`N$X $0(4`Nd b dh(7`NXl ȳpNv 8ǰҍĩܰD2hpN| |8h,pN$( $ph4pNd` d0h7pN  +NԴ (۬Αㇶl38ȳN|( |pN$` $8%Nd d0OԵ 8Պî۷D2@0O|0 |x8,0O$h $p40Od dp70Oض H@O( 8ՊнȤ85@O|p |@,@O$ $x4@Od d(7@O* OTh4 8ԋ¯ڶdxD2H(O|> |(,O$H $0(4Od R dh(7OX\ ȹOf 8ԋѼɥd5hO|p |8h,O$(z $ph4Od` d0h7O PԺ 8µ׈ҿʦ,5@ȹP|0 |x-P$h $85Pd dp8Pػ H P( 8µ׈ٵ,8D2 P|p |@- P$ $x5 Pd d(8 P PTh 8ô։Ӿ˧x5H(P| +|(-P$ +$0(5Pd  +dh(8PX$ +ȾP. +8ô։شD2hP|8 +|8h-P$(B +$ph5Pd`L +d0h8PV +p<п` +<8p j +(د͒嗦$(1pȾ p|`t +|,p$~ +$86pd +d8p  +(۬Α攥(1p8 p|` +|p,p$ +$6pd +d8p  +(ݪȗd(1p8 p|` +|p,p$ +$6pd +d8p  +(ߨʕ␡(1p8 p|` +|p,p$ +$6pdd8Pq  +(ߨʕ烲(l3p8 Pq|`|p,Pq$$6Pqd(d8pq 2(ީ˔悳,(l3p8 pq|`<|p,pq$F$6pqdPd +8HhkkkkcKCCCCӨ0PpЯ0Ppа0Ppб0Ppв0Ppг0Ppд0Ppе0Ppж0Ppз0Ppи0Ppй0Ppк0Ppл0Ppм0Ppн0Ppо0Ppп0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0Pp0PpXx(Pxx(Hh@`0Pp(Xx8X{ @` C(Hh `0Pp(Hx8XxHh(Hk(Hh+x(Hh8X(HhHh(Hk(Hh+x(Hh8X(HhHh(Hk(Hh+x(Hh8X(HhHh(Hk(Hh+x(Hh8X(HhcxCk; sC{K8Xx0 ''`(()8)H)X)h))+3,3-3.001112383[33344K56667777 8889#9: :@:c:;8;X;{;;<#<<[=>>>????@@A8A[AB0BPBsB(CHChCCCD3DDkEFFFGGH0HSHI(IHIkI J@J`JJ8KXKxKKL LCLLMNO8O[OP0PPPsP(QHQhQQ@R`RRRSSSSTTTTUUUVVVW+WX(XHXkX Y@Y`YY8ZXZxZZP[p[[[\\\\]]] ^^^_#___`;````a8a[aaaa8bXb{bbb cXcxcccd+dxdddSeSfSgj;k;l;mrrrrHh۔#ӕXxؗ;Xxؚ;+Мk0PpПk0Pp(HkãȤC(Hkæȧۨ`é C`ì C3دs8Xxزs8Xx0Ps˶зK0Ps˹кh˼#(Kh˿#(K;#{@`#{@``0s#p @30P+++0S8[ @c(Hk8Xx@`HhPp+3; C0Ps8X{ @`(HhXx`hp (K0S8[ @c@``30S+  K +KK###0Pp+`!"8"["$$$$('H'h''))*#*X,x,,,///0C0+1+2+36C7C8C9H;;?(?c?8@X@@hAAABBBCC#D0FPFF`GGGHHHIIJJKKKMNNNNOPQxГ HpÔìȽѴ)9: :;O1H@HHHGTUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUEUDDDD<*"UUUUUUEDDD>(??h@X@ApABBCC FF`GPGxHhHIIJJKKhNXNOpOPPQQRRTS UU8V(VxWhWXXYYZZ\[]]0^ ^H_8_XHؘȘXHp`0 Ȣx `PЫ`Pxh8(е(hXؾhXp@0p`xhpxH8P@XH`P (0 8(hXp`xhpP@p!!##H&8&((x+h+>x>??@@BBHC8CEEFFHH@I0IpJ`J`(Px 8X`8Hh "$h&h(h*h,.024@6@8@:@<ШDFHЮLPhVZ`dPjntHx~p8h8Hx8Hx8 +Hx8"(,26H<x@`FHxJL@N0 ''`(()8)H)X)h))+0,0-0.!004H5<X=DhELMPePfPgj8k8l8mrrrr13579;=?ACEGIKv(x(z(|~H +HH   (1(2(36@7@8@9H;;M N"N$N&N(O*P,Q.ӵЋ뉆˃ÁɁրٌꦩ†]cAjDk3cXjD3\.Ekz }S0hk "Oa +d +)+1G4@@ 'P?M&|j0}S} goA,2Jy@!BtM,7[2\)QN}Ig}S} +e|R&C0Dj' 'Q=R5vXh#{.vCmhs %'=^1UUW3cXm;DXl m;m;`XX(m;m;3cXl''D((@ *@'5(D5@$Ȅ$H0@s@ @R(@U(#@ @?$ @@pp/H|!Xe  GH@!e@II@!h0JJA!kHK@L@A!n.)[@LA!o/)[LA!Pط@MMB!Pغ Q N0N@B!P  OOB!P DRTB!Px + h0`YZC!P0( E8 =`e@C!P Xhu@klC!P( +, qrC!PuwVpg + +g D*****************************************************g0D* WISHBONE Connection Matrix Simulation started ... *gxD*****************************************************gD +gE ......................................................gE : :g`E : Regression Run ... :gE :....................................................:gE ......................................................g8F : :gF : Test Debug Testing ... :gF :....................................................:g<G/home/rudi/bender_cores/wb_conmax/bench/verilog/test_bench_top.v:398 $finish; +"d (DWaX~ 8DWX6 DvWX D.WX EWAX27 EwWX hE.WX EWAX^ EWX@C3COBkCCW `G%| K  Mh  hM  | hN 4 hO  hPH @`R HY"@HY@Y| Z 4 [  \H  ] *P^DP^`P(_|Ph_P_@d e@Xe"=2%2 ex@e        " 6JI J0J@JPJ`JVpJJ%)@ggXG +*******************************************gJ*** ERROR: Watchdog Counter Expired ... ***gK******************************************* +gDPK/home/rudi/bender_cores/wb_conmax/bench/verilog/test_bench_top.v:426 $finish; +"( JW)XF KWX XK>WX K% )@k h0  i D j  @k%@n%@ogK +gpL +--------------------+g\L | Total ERRORS: gL |gpM +--------------------+"< LXWX LWmX LW`Z~ MWX6 MvWX%,` `  ȸt  xh  x  | @ pٷ" %d^PXPP(PNPP`PȽP0>PzPPhPп.P8jPPPp P1P0YPxPPPPP!PLpٺ" B%Pp!   B    B g\MERROR: Illegal Master g O/home/rudi/bender_cores/wb_conmax/bench/verilog/tests.v:146 $finish; +   B    B    B    B gXPERROR: Illegal Slave g Q/home/rudi/bender_cores/wb_conmax/bench/verilog/tests.v:182 $finish; +g<RERROR: Master[g pR][gR]: g(R - Slave[gR]: g R (gR)"8OPOhO O# +O; OSOkO OWZX P&xPPPFPP"Pf$Q& Q&)8Q+PQ-hQF0Q2Q5Qf7Q9Q; QX;W;Z9<XV< R= xRY=W=Z> RR>W>Z> R2?W?^? R@W@Z@ R +AWA^A RAWYBpBaC RNCWCX%` 0  0 `; ; @< < `= `= (X> `> 88? `? H@ `@ XA `A hA ``B B TC `C Dpg R + +gU*****************************************************gU*** Arb. 1 Test ... ***gU***************************************************** +g (VDelay: !xyV!8ط0yHyW!xyyHW!(yyW! @zxzW!({8{X!0p{{HX!`||]gpV*****************************************************gX*** Test DONE ... ***gX***************************************************** + +" . UnWX U&WX UW9XV 0VWX0 xVpWZYXyBHVnVWHWWW6X^HX$@B@B*@Bv@B@B @Bo @B @BP +Ah + X +W X" Xb W X Y Wu X%xt  , 0  0@  0 x  ` PP8@PH P ;Ph!P!P", P# P# P8$a +P$ + P% h P& P'| pyg Y + +g[*****************************************************g[*** Arb. 2 Test ... ***g \***************************************************** +gh\Mode: g\ del: g \, siz: r!ȁ H}0}H]!p{ 0}}]!u ~0~]!n ~~^!hh 0H^!b ^![ (0^!`U 5hhRg<\ERROR: Master gl_ compleated before Master g(8_ M[g `_] pri: gx_ (t: g_)g(_ M[g _] pri: g_ (t: g_)g`*****************************************************g`*** Test DONE ... ***gX`***************************************************** + +"X . [nWX [&WX (\W9XV p\WXrB \WWZr \W?ZZ \W'ZXI]f]]]]]] +]']?]\]y]]]]] ]" ]? ]\ ]t ] ] ] ] ] +] +]: +]R +]j +] +] +] +] +] +] ] ]9 ]V ]s ] ] ] ] ] ] ]/ ]G ]_ ]w ] ] ] ] ] ] +]. +]F +]^ +]{ +] +] +] +] +] +])]]H]]B]j^H^^^B@B@B7@B@B/@B@B'@B@B6_f#]% _%Ww&Z& @_&WM'Z'X' h_(W(Z( _(W)[) _)W-a*- _j-W-X- _".W.Z. _.W/[/ _0W3a.3 `n3W3X5A5 `86W6X6 ``6WM7Xj7 `7W8X%t * , 8+  8,@  8- P1 2\ 2 2D 2 2, 2 PS@ UF@0USP PЈHPP0@PP8P@P& |& & T' ' ( ( ( ) ) - Вp- - (. . / / 0 3 t3 3 5PX@6 6 6 T7 7  8 @8p1(g ` + +ghg*****************************************************gxg*** Datapath 1 Test ... ***gg***************************************************** +ghMode: !(hB h! 0GPhh!!K(i!P"Phi!#U(@i!$PZpi!x%_Ђ(j!0&cngPh*****************************************************ghj*** Test DONE ... ***gj***************************************************** + +" . pgnWX g&WX gW9XV hWXB XhWZXThhzhh(ihiiBij(j0@B@@B@B0 @B @B +@B +@B @BEA\ pjWX jVWX kWiX%|t  , (  (@  ( P@   @PpQPPA P  P1 +P@ +P! P` @(6@VP H \ H  Hp pg j + +gm*****************************************************gm*** Datapath 2 Test ... ***gn***************************************************** +g PnDelay: !X',QhH`n!(,Qkx0o!(),QHopo!*,Qr؃o!+,Qu o!`,,QPy8P0p!-,Q|hpp!0.(Agn*****************************************************gp*** Test DONE ... ***gp***************************************************** + +" . mnWX m&WX nW9XV XnWX.BD nWZmXnn&0oNpovoo0pppB~ A p W1 +XN + q +W +X HqF W X%Xt  ,   @   ?P@  t R@!P@ P (8 + + ( + L ( p)g @q + +gxs*****************************************************gs*** Register File Test ... ***gs***************************************************** +gtMode: 2 R g,`tERROR: RF[g\t] Mismatch. Expected: g t, Got: g t (gt)gu*****************************************************gu*** Test DONE ... ***gXu***************************************************** + +" . snWX s&WX sW9XV tWX ht_WZEXS&ttt +ti t@tt8ttttJ tW +Z& tfW^ tNW^ t6Wp'aB uWXA uW=XZ `uWX uRWX%t  , @  @@  @ d H L  P P Pm +PP@PP8P@  + l + T + < + ,  + P` D   X  p "$P%]PPlP0PH@"$P8%]PxPlPP@8"$P%]PPlP P8@"$P(%]PhPlPP@(guERROR: RF read mismatch: Exp. a5ff, Got "$P  zWi^X%$]P`PxlP P +GP  p + @ gzERROR: RF read mismatch: Exp. 0, Got "$P(& zfW^=X%$]PhPlPPl  hD P @(gzERROR: RF read mismatch: Exp. 0, Got "$P0 {WY^X%$]PpP7P ` X sPP(@0g{ERROR: RF read mismatch: Exp. 0, Got "$P P|RW^)X%$[PhPX  H0 PlP(P0@"$PȁH pt _%(@85Pd@xP@TP@ @x +PP @ȁ"$Pp{H pt _%(@{5P(|d@ }Ph}@~TP~ @  +PhP @p{"$PuH pt _%(@u5Pud@vPw@HxTPx @y +PzP @u"$PnH pt _%(@0o5Pxod@ppPp@qTP8r @ps +PsP @n"$PhhH pt _%(@h5P id@jP`j@kTPk @m +P`mP @hh"$PbH pt _%(@b5Pbd@cPd@@eTPe @f +PgP @b"$P[H pt _%(@(\5Pp\d@h]P]@^TP0_ @h` +P`P @["$P`U, pX _%(@U0PV`@WPXW@X@PXp @Z +PXZ4 @`U"$P(%PhUPpPxP@("$P%P UP(P0P8@"$P%PطUPPP@"$PP%PUPPP@P"$P%PHUPPPXP`@"$P%PUPPP@"$Px%PUPPȨPЩ@x"$P0%PpJPxPP@0"$PX%PxL@X"$P%PL@"$P(%PHL@("$P%PL@"$P%PL@"$P`%PL@`"$P%PL@"$P0%PP<@00#R(@`@NDijdždžǍGsn OOQ_ +SFFON ^F@PFDPFPF PFPFPFDdže hYdžFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@EG]W ڃ0UUH u H A U UE iU UD1"ExH u H A 1 t+UHi @u i M u]E<UUH1 t+UHi @u i M u]XND;AARNDQ t2AJIȋH9uJH B R uZUDSR Y AÉڋۍ[u +^DSQ KYPQZ[] ډGW؉у ȉGVDzV,JND BXFᐐNDyi] ډG W ؉у ȉGG1)ˋW1)ѹʉ ىOOW1ʉ1Ƀʋ_!ӋOO>[tAGӃ WLQp1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]AGӃ WLQ1 t+UHi @u i M u]$AGӃ WLQ 1 t+UHi @u i M u]4AGӃ WLQ01 t+UHi @u i M u]DAGӃ WLQ@1 t+UHi @u i M u]TAGӃ WLQP1 t+UHi @u i M u]dAGӃ WLQ`1 t+UHi @u i M u]@BGFHNL_qV^FVDzV,JND QFH$QP$ JYXFᐐNDyiE<UUH1 t+UHi @u i M u]XND;AARNDQ t2AJIȋH9uJH B R uZUDSR Y AÉڋۍ[u +^DSQ KYPQZ[] ډGW؉у ȉGVDzV,JND BXFᐐNDyi] ډGW؉у ȉG G1)ˋW 1)ѹʉ ىOO W1ʉ1Ƀʋ_!ӋO O>ZFVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@nX +FᐐnXFᐐnXFᐐnXFᐐnXFᐐdXND;AARNDQ t2AJIȋH9uJH B R uZUDSR Y AÉڋۍ[u +^DSQ KYPQZ[] ډGW؉у ȉGVDzV,JND BXFᐐNDyi] ډGW؉у ȉG G1)ˋW 1)ѹʉ ىOO W1ʉ1Ƀʋ_!ӋO O>ZŒdžFX FS?[RNDQ At2AJIȋH9uJH B R uZNDAF,H ND S,^,C,C[X FᐐNDidž @KLdž FHNL qV^FVDV,JND QFH$QP$ JYXFᐐNDiE 艆 ҉   ى 3  E FP)ȉwFPË FP)ȉwFP‰ ى # Ӌ ډUD1 "ExH u H A 1 t+UHi @u i M u]3NDiVDV,JND BXFᐐNDiӉ艆4 +0 +艆, +4 +!ى( +, +ы0 +!ˋ, + 4 +$ +( + ډ#$ +L +$ + ӹ +艆 +L +!ى + +ы +!ˋ + L + + + ډ# +H + + ӹ +艆 +H +!ى + +ы +!ˋ + H + + + ډ# +D + + ӹ +艆 D +!ى ы +!ˋ D + ډ# @ + ӹ 艆 @ +!ى ы !ˋ @ + ډ# < + ӹ 艆 < +!ى ы !ˋ < + ډ# 8 + ӹ 艆 8 +!ى ы !ˋ 8 + ډ# Ӌ щ!ʉRE ] ڃUUH u H A ]E ڃ ظ щ 1ى 3 ڃ E EH u H A NDiVDV,JND BXFᐐNDiE ] )Ⱥ؃ щ!ʉzFVF@PFDPFPFPFDdžX hYdžFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@ŒdžFX FND\ՉNDQSRWى׉ËG %FGFʉكЃt +^)t^u S{WG _Z[VDV,JND BXFᐐND`NDDՉNDQSRWى׉ËG %FGFʉكЃt +^)t^u S{WG _Z[VDV,JND BXFᐐND` FGFGFVF@PFDPFPFPFDdžX hYdžFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@]U  QF NF FF@PFDPFPF PFPFPFDdž[ hYdžFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@ FDxX Fᐐjdždž8NjFGFGShnhH X +FᐐNDiSnhH X +FᐐNDiSnhH X +FᐐNDiSnhH X +FᐐNDiSnhH X +FᐐNDi$SnhH X +FᐐNDiDS(nhH X +FᐐNDidSHnhH X +FᐐNDiShnhH X +FᐐNDiSnhH X +FᐐNDiSnhH X +FᐐNDiSnhH X +FᐐNDiSnhH X +FᐐNDi$SnhH X +FᐐNDiDS(nhH X +FᐐNDidSHnhH X +FᐐNDihnhH XFᐐNDixnhH XFᐐNDinhH XFᐐNDinhH XFᐐNDinhH XFᐐNDinhH XFᐐNDinhH XFᐐNDinhH XFᐐNDiOoS?[X Fᐐjdždž8NjFGFG $ nX FᐐOoS?[X Fᐐ FGFG40  ً !؃ щ!ʉC    Y  4Ld| + 0  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A  +A + +A +  ى  + Ӌ + ى + +Q +A  +A + +A +  ى + Ӌ + ى  +  + ډ< 8 0  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A + +A + +A + + ى  + Ӌ + ى + +Q +A  +A  +A +  ى  Ӌ + ى  +  + ډ< 80  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A  +A + +A +  ى  + Ӌ + ى + +Q +A  +A + +A +  ى + Ӌ + ى  +  + ډ< 8 0  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A + +A + +A + + ى  + Ӌ + ى + +Q +A  +A  +A +  ى  Ӌ + ى  +  + ډ< 8 0  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A  +A + +A +  ى  + Ӌ + ى + +Q +A  +A + +A +  ى + Ӌ + ى  +  + ډ< 80  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A + +A + +A + + ى  + Ӌ + ى + +Q +A  +A  +A +  ى  Ӌ + ى  +  + ډ< 80  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A  +A + +A +  ى  + Ӌ + ى + +Q +A  +A + +A +  ى + Ӌ + ى  +  + ډ< 80  Ӌ ظ‰ ى +Ѓ  + + ȉ  + + +! ى + +ٹы +!ȉ SR1ۉZ[ʉЉ + + +A + +A + +A + + ى  + Ӌ + ى + +Q +A  +A  +A +  ى  Ӌ + ى  +  + ډ< 8xFVF@PFDPFPFPFDdžX hY +džFFDF@  BFVF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@ŒdžFX F,(   Y  4Je,(,@(,(,(g,(L,@(1,(,(  q& b& Y  Tt 4 Tt4!#0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @%0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @"0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @Y 0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @90 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @y0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @Y +0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @ +0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @90 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @0 ( , ʉظ + + щ $ Љ  + ډظÉ  +؃  + + ȉ  + + +! ى( +( +ٹы +!ȉ SR1ۉZ[ʉЉ$ +$ +$ +A +$ +A +$ +A + + ى + Ӌ + ى +$ +Q$ +A +$ +A +$ +A + + ى  + Ӌ + ى ( + + ډD @yFVF@PFDPFPFPFDdžX hYdžFFDF@  BFVF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@ŒdžFX Fዅ8 < @ D 1ȉ 1Ƀ 1ˉ1Ƀˋ ډFVF@PFDPFPFPFDdžX hY +džFFDF@ $ +$ +$ +QF$ +NF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@0 SF^F FF@PFDPFPF PFPFPFDdž[ hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@  BFVF FF@PFDPFPF PFPFPFDdž_ hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@  + + +QF +NF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@ +  BFVF FF@PFDPFPF PFPFPFDdž_ hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@VH^L + + +FFF@PFDPFPFPFDdžq hY +džFFDF@NY + + + + +BFVF FF@PFDPFPF PFPFPFDdžb hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@]U ؃ ظË ډ] U0Ѓ 0  SR‰) !! ډZ[؃ щ!ʉ FDxX Fᐐjdždž8NjFGFGFVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@TPTPFVF@PFDPFPFPFDdžX hYdžFFDF@PTGG O O QFO NF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@nX +FᐐG G CSG ChnhH XFᐐNDiRNDQ At2AJIȋH9uJH B R uZNDiyX +FᐋNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyW W NDQNDQVDzjV,JND BXFᐐNDyiNDAItI gNDAF,A NdžXNDjPdž NDX Fu +FD@nX +FᐐnX FᐐnX FᐐnX FᐐnXFᐐnXFᐐnXFᐐnXFᐐPGTWظG _GTPك GG _ O щ!ʉnXFᐐFVF@PFDPFPFPFDdžX hYdžFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@OoS?[X Fᐐjtdždž8NjFGFGFVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@tplhd`d`lhtpnX FᐐFVF@PFDPFPFPFDdžX hYdžFFDF@`G dG0WG G0BFVF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@hlGG,O,O,QFO,NF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FVF@PFDPFPFPFDdžX hY džFFDF@ptG G(O(O(QFO(NF FF@PFDPFPF PFPFPFDdž[ hY džFFDF@FF +F@PFDPFPFPFDdžY hY +džFFDF@O0NO BG Y  777f777D777"777777777777mӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈC ӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCCӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCoӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCӃЈЈCӃ ЈЈCӃ +ЈЈCӃЈЈCӃЈЈCӃЈЈCӃЈЈCЈЈCG G GOO$OQO$O _ ظG WOOOWOЈWOЈAG GOO$OQO$O_ظG W OO OWOЈWOЈAG +GOO$OQO$O _ ظG WOOOWOЈWOЈAG GOO$OQO$O_ظG W OO OWOЈWOЈAG GOO$OQO$O _ ظG WOOOWOЈWOЈAG GOO$OQO$O_ظG W OO OWOЈWOЈAG GOO$OQO$O _ ظG WOOOWOЈWOЈAO O O QO O_ظG WOOOWO ЈWO ЈASSGHOHO(OHQO(OPOL_HO$SO$ODGDGDOD OPOP OLOL_HO SO O@G@G@O@ OPOP OLOL_HOSOO<G<G<O< OPOP OLOL_HOSOO8G8G8O8 OPOP OLOL_H +OSOO4G4 +G4 +O4 OPOP OLOL_H OSOO0G0 G0 O0 OPOP OLOL_HO SO O,G,G,O, OPOP OLOL OPOP OLOLGLBGPhnhH XFᐐNDiRNDQ At2AJIȋH9uJH B R uZUDSR Y AÉڋۍ[u +^DSQ KYPQZ[] ډGW؉у ȉG VDzjV,JND BXFᐐNDyi] ډGW؉у ȉGG 1)ˋW1)ѹʉ ىOOW 1ʉ1Ƀʋ_!ӋOO ;RNDQ At2AJIȋH9uJH B R uZNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyNDiyX FᐐNDiyW W NDQNDQVDzjV,JND BXFᐐNDyiNDAItI gNDAF,A NdžXNDjPdž NDX Fu +FD@pt؋O SR1ۉZ[O ظËW ډnX Fᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐpt؋O SR1ۉZ[O ظËW ډnXFᐐGOG ȉG G G +OG!ȉGGGO OOOٹыG!ȉG GG O(SR1ۉZ[O(O(GȉG$O$O$A<O$A\O$A|< ى\ Ӌ| ىO$QO$A4O$ATO$At4 ىT Ӌt ىLJ1ҋ ىO$QO$A 0O$A +PO$A p0 ىP Ӌp ىO$Q O$A +,O$ALO$Al, ىL Ӌl ىLJ1ҋ ىOO0O4 G0 O4 G0 O4G8G,G,G@O8G8 ȉGamnw(Z<_q_)E*Mc L%K>FhYj^puHf~ gI>\`a mJ9U4B'xwY:ba(Eknu +#!;M>JJ-Z5G,uH+D*G&^ujD ' |5Xvsh><&P#WW0G(Z1h +U8Y*^p==K'H/lB.G)\$ +;<dcc*sn+\>a~&kEkqyW:x +r\m^jD2A55|R%J8S} lkE&~}4YwG? 5T78YlB.G)\$ +;<aoo&b 'P2mab{$P?Oa,,Z6Y>}Sc+N(,N(7[2\)QN}Ig}S} +e|R%G{zvQ<].Z?M{3~P~d lB/1I|+(zKe `{-/5F/HH/X7E.wJ)F(E$\p} n1X>]s]+G(Oa "j_ni +kYkE)@.[# +<;fhh!xe W5j fe|#B0R|11G+D# +`N~3g`Sj\rwl: 8 "Q8__8O R9`]>Q?R3Ky +o-`N`zr\1/WcTe1< +$H!O:Bl]nZtn @nvoA6T hieB2@)v}0}S} goA,2Jx,O|Dq9UvGq@qCwwY5\2G? ' jaa(ql)^]oA-D*_' 8 ?ryy0it1F${wp^=e f/Bl\$$qDtMQ=T:O7(/b +ii yd!V4kg d}"P6U{U#O Gi*bPeU`Q?R3KueK(ps:WyI16P1Wd]kE)@.[# +<;v}}4mp5B spi6E)H>[m %hFhrzT9'_j[:\?^l^pun8 : C,HH/X7E.wJ)F(E$\n|m@)Oa,,Z6Y>}Sc.JyIzL(7[2\)QN}IgkFhpiG0R +nocD0_/LbL:V9^p3{K.HL.HyW;RR7p}2Aojq '%?L?LL"A$H)KKf%a2~7uuTu[ts{T&S7^qv|k4W8J/\sf9Z5[6W/swX*^2mw5G2\s~ fP3W$ +fmLmClk6Z3QpQQ|4pa{9K>Prjs\4P<dwVwYvz8N/]|]]p'h:qqipp]\A E LGA +YY.A3Xvg`Z7Xffs,A.J/Cx{|jD22E'x gN#L(M!yyl3P?Q<]%zi %SS}R:U8]ruxW5P>Z?Mql zU"@|}q &T Lcpkh!  !  } eJ@0@@nn4$86t%n%nhX( K@K@ wppo@s@pp O@(O@$@ +u S@-T@XH@\0W@Y@|0 ʾCq$qPqЙq @[@@[@(`QOP_@P_@\L*fr@DroYvvPEmn @`m XP@ +,( NX0d@t@@8@@@@@@@H@AAP$A4ADAX dAtAAHAAA0AhAAAPBB$B4BpDBTBdB8tBBB(B`BBBXBBC(C$C4C XdCtCC`CCChCCpCD Dx$D4D( DD  dD0!tD!D!D8"D"D"D@#D#D#DH$E$E$$EP%4E%DE&&&('h''(8(p(((@)x)))H****4F(+DF+TF+dF+tF0,Eh,E,E-E8-Ep-E-F.F8.$Fp.F.F/F@/Gx/G/F/FH0F0F0F0$G(14G1DG1TG1G(2G2G2G2G03dGh3tG3G4G84Gp4H4H5$H854Hp5H5H6H@6Hx6H6DH6THH7dH7tH7H7$I(84I8DI8TI8dI09tIh9I9I:I8:Ip:J:$J;4J@;DJx;TJ;I;IH<I<I<J<dJ(=tJ=J=J=J0>Jh>J>J?J8?Jp?dE?tE8@Ep@E@K@K8AKpAKAKAK8BLpBLB$LB4L8CDLpCTLCHCH8DIpDIDLDL8ELpELE +pPEmn `n 0d,@p@p  @("/Rd`@i%Q[i@ j $ h4T`rt  @s@`3`C* (I/ +,6 ` 4Sİ +d |ܕ \i@Zv`[ #46Tf2t`-_ >r@C$` `T`OZF3 @f9 4 bN d@L? `@ʰ!N"8#,2?$%$"J&D ]NG'd@9`(`쟵)9*j+t,R-$Rk.D ` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @,*/--88<d8̈\>=>=$` +( +LP + +|l:l=P +X +8 + + +P,$Dd,888T8 8<DlԿd8P48Lt-t +tTB.t +TB/t +TB0t +TB1TB2TB4| +t SBT t TBt t TBD t  TB# t ,TB4t 8TB( +t DTBD4 t PTB4@* +t \TBT+ t hTBЧ0PpШ0PpЩ0PpЪ0PpЫ0PpЬ0PpЭ0PpЮ0PpЯ0Ppа0Ppб0Ppв0Ppг0Ppд0Ppе0Ppж0Ppз0Ppи0Ppй0Ppк0Ppл0Ppм0Ppн0Ppо0Ppп0Pp0Pp,<ߪǷǨڮ<ߪǷǨڮD΢;D΢;,ĨǴ,ĨǴ8Ժӧίêе8Ժӧίêе Űܰ Űܰ(Ӷ۴§(Ӷ۴§šš ƾ߲ ƾ߲,,,,$$@״۶Ƨհ@״۶ƧհDǵбDǵб88$$,ؽޱç0000(탃(탃,,44@@44@⛛@⛛HH44DD00LLLL 惃 惃$$$$$$$$ 珏 珏     ᇇ ᇇ ꎎ ꎎ ᓓ ᓓ4D\l|$4DTdt,D\l| ,<L` @` @` @` @` @` ,<ߪǷǨڮD΢;,ĨǴ8Ժӧίêе Űܰ(Ӷ۴§š ƾ߲,,$@״۶ƧհDǵб8$00(탃,4@4@⛛H4D0LL 惃$$$$ 珏   ᇇ ꎎ ᓓPEm%n `n @`@ %@/111С11101P1p111Т11101P1p111У11101P1p111Ф11101P1p111Х11101P1p111Ц11101P` @`  @ `      + +@ +` + + + + +  @ `       @ `      + +@ +` + + + + + @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`  @ `     ! !@!`!!!!!" "@"`"""""# #@#`#####$ $@$`$$$$$% %@%`%%%%%& &@&`&&&&&' '@'`'''''( (@(`((((() )@)`)))))* *@*`*****+ +@+`+++++, ,@,`,,,,,- -@-`-----. .@.`...../ /@/`/////0 0@0`000001 1@1`111112 2@2`222223 3@3`333334 4@4`444445 5@5`555556 6@6`666667 7@7`777778 8@8`888889 9@9`99999: :@:`:::::; ;@;`;;;;;< <@<`<<<<<= =@=`=====> >@>`>>>>>? ?@?`?????@ @@@`@@@@@A A@A`AAAAAB B@B`BBBBBC C@C`CCCCCD D@D`DDDDDE E@E`EEEEEF F@F`FFFFFG G@G`GGGGGH H@H`HHHHHI I@I`IIIIIJ J@J`JJJJJK K@K`KKKKKL L@L`LLLLLM M@M`MMMMMN N@N`NNNNNO O@O`OOOOOP P@P`PPPPPQ Q@Q`QQQQQR R@R`RRRRRS S@S`SSSSST T@T`TTTTTU U@U`UUUUUV V@V`VVVVVW W@W`WWWWWX X@X`XXXXXY Y@Y`YYYYYZ Z@Z`ZZZZZ[ [@[`[[[[[\ \@\`\\\\\] ]@]`]]]]]^ ^@^`^^^^^_ _@_`_____` `@```````a a@a`aaaaab b@b`bbbbbc c@c`cccccd d@d`ddddde e@e`eeeeef f@f`fffffg g@g`gggggh h@h`hhhhhi i@i`iiiiij j@j`jjjjjk k@k`kkkkkl l@l`lllllm m@m`mmmmmn n@n`nnnnno o@o`ooooop p@p`pppppq q@q`qqqqqr r@r`rrrrrs s@s`ssssst t@t`tttttu u@u`uuuuuv v@v`vvvvvw w@w`wwwwwx x@x`xxxxxy y@y`yyyyyz z@z`zzzzz{ {@{`{{{{{| |@|`|||||} }@}`}}}}}~ ~@~`~~~~~ @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`  @ `      + +@ +` + + + + +  @ `       @ `      + +@ +` + + + + + @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`  @ `     ! !@!`!!!!!" "@"`"""""# #@#`#####$ $@$`$$$$$% %@%`%%%%%& &@&`&&&&&' '@'`'''''( (@(`((((() )@)`)))))* *@*`*****+ +@+`+++++, ,@,`,,,,,- -@-`-----. .@.`...../ /@/`/////0 0@0`000001 1@1`111112 2@2`222223 3@3`333334 4@4`444445 5@5`555556 6@6`666667 7@7`777778 8@8`888889 9@9`99999: :@:`:::::; ;@;`;;;;;< <@<`<<<<<= =@=`=====> >@>`>>>>>? ?@?`?????@ @@@`@@@@@A A@A`AAAAAB B@B`BBBBBC C@C`CCCCCD D@D`DDDDDE E@E`EEEEEF F@F`FFFFFG G@G`GGGGGH H@H`HHHHHI I@I`IIIIIJ J@J`JJJJJK K@K`KKKKKL L@L`LLLLLM M@M`MMMMMN N@N`NNNNNO O@O`OOOOOP P@P`PPPPPQ Q@Q`QQQQQR R@R`RRRRRS S@S`SSSSST T@T`TTTTTU U@U`UUUUUV V@V`VVVVVW W@W`WWWWWX X@X`XXXXXY Y@Y`YYYYYZ Z@Z`ZZZZZ[ [@[`[[[[[\ \@\`\\\\\] ]@]`]]]]]^ ^@^`^^^^^_ _@_`_____` `@```````a a@a`aaaaab b@b`bbbbbc c@c`cccccd d@d`ddddde e@e`eeeeef f@f`fffffg g@g`gggggh h@h`hhhhhi i@i`iiiiij j@j`jjjjjk k@k`kkkkkl l@l`lllllm m@m`mmmmmn n@n`nnnnno o@o`ooooop p@p`pppppq q@q`qqqqqr r@r`rrrrrs s@s`ssssst t@t`tttttu u@u`uuuuuv v@v`vvvvvw w@w`wwwwwx x@x`xxxxxy y@y`yyyyyz z@z`zzzzz{ {@{`{{{{{| |@|`|||||} }@}`}}}}}~ ~@~`~~~~~ @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @(@$n + @` @` @` @` @` @` @`  @ `      + +@ +` + + + + +  @ `       @ `      + +@ +` + + + + + @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`  @ `     ! !@!`!!!!!" "@"`"""""# #@#`#####$ $@$`$$$$$% %@%`%%%%%& &@&`&&&&&' '@'`'''''( (@(`((((() )@)`)))))* *@*`*****+ +@+`+++++, ,@,`,,,,,- -@-`-----. .@.`...../ /@/`/////0 0@0`000001 1@1`111112 2@2`222223 3@3`333334 4@4`444445 5@5`555556 6@6`666667 7@7`777778 8@8`888889 9@9`99999: :@:`:::::; ;@;`;;;;;< <@<`<<<<<= =@=`=====> >@>`>>>>>? ?@?`?????@ @@@`@@@@@A A@A`AAAAAB B@B`BBBBBC C@C`CCCCCD D@D`DDDDDE E@E`EEEEEF F@F`FFFFFG G@G`GGGGGH H@H`HHHHHI I@I`IIIIIJ J@J`JJJJJK K@K`KKKKKL L@L`LLLLLM M@M`MMMMMN N@N`NNNNNO O@O`OOOOOP P@P`PPPPPQ Q@Q`QQQQQR R@R`RRRRRS S@S`SSSSST T@T`TTTTTU U@U`UUUUUV V@V`VVVVVW W@W`WWWWWX X@X`XXXXXY Y@Y`YYYYYZ Z@Z`ZZZZZ[ [@[`[[[[[\ \@\`\\\\\] ]@]`]]]]]^ ^@^`^^^^^_ _@_`_____` `@```````a a@a`aaaaab b@b`bbbbbc c@c`cccccd d@d`ddddde e@e`eeeeef f@f`fffffg g@g`gggggh h@h`hhhhhi i@i`iiiiij j@j`jjjjjk k@k`kkkkkl l@l`lllllm m@m`mmmmmn n@n`nnnnno o@o`ooooop p@p`pppppq q@q`qqqqqr r@r`rrrrrs s@s`ssssst t@t`tttttu u@u`uuuuuv v@v`vvvvvw w@w`wwwwwx x@x`xxxxxy y@y`yyyyyz z@z`zzzzz{ {@{`{{{{{| |@|`|||||} }@}`}}}}}~ ~@~`~~~~~ @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`  @ `      + +@ +` + + + + +  @ `       @ `      + +@ +` + + + + + @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`  @ `     ! !@!`!!!!!" "@"`"""""# #@#`#####$ $@$`$$$$$% %@%`%%%%%& &@&`&&&&&' '@'`'''''( (@(`((((() )@)`)))))* *@*`*****+ +@+`+++++, ,@,`,,,,,- -@-`-----. .@.`...../ /@/`/////0 0@0`000001 1@1`111112 2@2`222223 3@3`333334 4@4`444445 5@5`555556 6@6`666667 7@7`777778 8@8`888889 9@9`99999: :@:`:::::; ;@;`;;;;;< <@<`<<<<<= =@=`=====> >@>`>>>>>? ?@?`?????@ @@@`@@@@@A A@A`AAAAAB B@B`BBBBBC C@C`CCCCCD D@D`DDDDDE E@E`EEEEEF F@F`FFFFFG G@G`GGGGGH H@H`HHHHHI I@I`IIIIIJ J@J`JJJJJK K@K`KKKKKL L@L`LLLLLM M@M`MMMMMN N@N`NNNNNO O@O`OOOOOP P@P`PPPPPQ Q@Q`QQQQQR R@R`RRRRRS S@S`SSSSST T@T`TTTTTU U@U`UUUUUV V@V`VVVVVW W@W`WWWWWX X@X`XXXXXY Y@Y`YYYYYZ Z@Z`ZZZZZ[ [@[`[[[[[\ \@\`\\\\\] ]@]`]]]]]^ ^@^`^^^^^_ _@_`_____` `@```````a a@a`aaaaab b@b`bbbbbc c@c`cccccd d@d`ddddde e@e`eeeeef f@f`fffffg g@g`gggggh h@h`hhhhhi i@i`iiiiij j@j`jjjjjk k@k`kkkkkl l@l`lllllm m@m`mmmmmn n@n`nnnnno o@o`ooooop p@p`pppppq q@q`qqqqqr r@r`rrrrrs s@s`ssssst t@t`tttttu u@u`uuuuuv v@v`vvvvvw w@w`wwwwwx x@x`xxxxxy y@y`yyyyyz z@z`zzzzz{ {@{`{{{{{| |@|`|||||} }@}`}}}}}~ ~@~`~~~~~ @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @` @`PEmK@ @`%n# @PEmp `K@ J~<{=a{=a{=a{=a{=a{=a{{{~~~{Hyy=a{={{ 0=$x={{xV? *Hyy=a{={{\yyyyyyyyyyyyy{x={x=~{?    ~{   p   h   `   X   P   H   @   8   0   (  x   p   h   `   X   P   H   @   8   0   (  x   p   h   `   X   P   H   @   8   0   (  x   p +  +  + h +  +  + ` +  +  + X +  +  + P +  +  + H + +  + +  + @ +  +  + + 8 +  +  + 0 +  +  + ( +  + x +  + + +` +  + + +` +  + + +` +  + + +` + + +! +`" + # +# +$ +`% + & +& +' +`( + ) +) +* +`+ + , +, +- +`. + / +/ +0 +`1 + 2 +2 +3 +`4 + 5 +5 +6 +`7 + 8 +8 +9 +`: + ; +; +< +`= + > +> +? +`@ + A +A +B +`C + D +D +E +`F + G +G +H +`I + J +J +K +`L + M +M +N +`O + P +xQ +R +(T +U +V +0X +Y +Z +8\ +] +^ +@` +a +b +Hd +e +g +i +l +@n +hp +r +t +v +y +0{ +X} + + +Ѓ + + + + +p + +P +@ +h0 +؂ +؂ +؂ +؂p +؂` +؂P + ؂@ +؂0 +؂ +؂ +؂ +؂ +؂ +؂ +؂ +؂ +p +p +p +p +pp +ppp"p*p2p:pCpKpS8[ cn`~8`X ,? 4D D E J j s dr l 4( ( Ll u F G tJ Z m 4 +,L \T V T U V LY lZ Y X Y Z `0QP(? `T0QPw? 0QP8@ h,QP@ h\0踊QPA 0QPB  p0QPC pdȣ0QP0D Ȥ ,QPD x0ᅪQPE xlФ0QP +OF Хĥ(,禦Qo+йPG 8,,Q o,)P__I <ޓւʅQ+PVJ X@Q+PpJ l<ޓւʅQ=-P/(L ԧ(4܈Q{I*PXXT hTHQSP T بĨ8QP!U @,4詩QP]V 8ےѝQP"V H4ņQ)I[P&5X p\<涶QP%5Y تĪ@QP#gHY H,TQ4P'IY <ⲲQP)7Z X<QP*-8Z p\@QP$-hZ Ь@QP^'Z 0xHQPZ |ج8QP8y[ 8@QP7`] `L<Q_9P9(_ ЮPQkP4:` @,xPQ1P3Q a <Q21P1mxa P<QP.e p\HQP8f аL⧧QP8f 0x<Q2~АP +*h ذHQP: i HL১QYU& \&I@&(A&%<t p8h0Lȸh(X,HHxdp8hȸ <(XXtHx8TxpLh8hȸ(X,HdHx 08p8 88<8hX8t8ȸ88(Ⱥ8X88888T8Hp8x88Ļ8pة8h,ȸHd(XԪ H(xD`|pt8hșȸ(8XTpĚHx4X`~p,`~H`~8d`~h`~`~ȸ`~ԉ`~(`~X `~(`~D`~``~|`~H`~x`~Њ`~`~onpxnyn8ynh8ynTynȸpynyn(ynXynynynzn4znHPznxlznznzn\ + + . + +x. + +. + +(., + +.` + +. + +0. + +. +x + < + +  +( +  + + D + +  +0 +  + + L + + d +Hd +e +Hd + + +.4 + +P.h + +. + +0., + +0VS(XS!YSh'l + + . + +x. + +. + +(.< + +.p + +. + +0. + +. +8 +  + + + + T + + +  +@ +  + + \ + + +  + +H + +  + + + 8c +b +c +b + + +.D + +P.x + +. + +0.< + +0NK(PK!QKh'| + + . + +x. + +. + +(.L + +. + +. + +0. + +.d + +  +P +  + + l + +  +X +  + + t + +  +` + a +a +Tb +a + + +.T + +P. + +. + +0.L + +0FC(HC!|ICh' + + . + +x. + +.( + +(.\ + +. + +. + +0. + +.$ + + | + +  +h + , + +  + +  +p + 4 +  ` +@` +` +@` +0 + +.d + +P. + +. + +0.\ + +0x>:(@:!tA:h' + + . + +x. + +.8 + +(.l + +. + +. + +0. + +. x <  (  D  0  L 0_ +^ +_ +^ +@ + +.t + +P. + +. + +0.l + +0p62(82!l92h' + + . + +x. + +.H + +(.| + +. + +. + +0. + +. 8  T  @  \  H  ] +] +L^ +] +P + +. + +P. + +. + +0.| + +0h.*(0*!d1*h' + + . + +x.$ + +.X + +(. + +. + +. + +0.( + +.d  P  l  X  t   ` \ +8\ +\ +8\ +` + +. + +P. + +. + +0. + +0`&"(("!\)"h' + + . + +x.4 + +.h + +(. + +. + +. + +0.8 + +.$ |   h ,    p 4  ([ +Z +[ +Z +p + +. + +P. + +. + +0. + +0X( !T!h'ܿ +0 + . +0 +x.D +0 +.x +0 +(. +0 +. +0 +. +0 +0.H +0 +. x <  (  D  0  L Y +Y +DZ +Y + +0 +. +0 +P. +0 +. +0 +0. +0 +0P(!Lh' +@ + . +@ +x.T +@ +. +@ +(. +@ +. +@ +.$ +@ +0.X +@ +. 8  T  @  \  H  xX +0X +X +0X + +@ +.Ľ +@ +P. +@ +., +@ +0. +@ +0H +( +!D +h' +P + .0 +P +x.d +P +. +P +(.̸ +P +. +P +.4 +P +0.h +P +.d  P  l  X  t   `  W +V +W +V + +P +.Թ +P +P. +P +.< +P +0.̺ +P +0@(!< h' +` + .@ +` +x.t +` +. +` +(.ܴ +` +. +` +.D +` +0.x +` +.$ |   h ,    p 4  U +U +: + +{\>:52X 62 + +{T62-*X.* + +{L.*%"X%"0 + +{D&"X@ + +{<XP +0 +{4 + +X + +` +@ +{, +Xp +P +{$ + +X + + +` +{ + + + +X + + +p +{ + + + +X + + + +{ + + + +X + + + +{ + +^[X4^[ + +{|^[X.$X/P%8i <Q2P`j p\<ޓւʅQPxj <ޓւʅQ + T ĩ|4x p(Py Dl$y 8`Й@y T |4\y p(Pxy Dl$܉y `к@y |4\y Px0y l$ܪLz @h z 4\̚ + > +D? +> +@ +? +@ +`@ +A + A +DB +A +C +B +C +`C +D + D +DE +D +F +E +F +`F +G + G +DH +G +I +H +I +`I +J + J +DK +J +L +K +L +`L +M + M +DN +M +O +N +O +`O +pP + P +P + P +Q +xQ +:>(: ?:8?@:d?:? :?:?:@p:@@:@!:@":@H#:A#:$A$:8AX%:LA&:`A&:|Ah':A(:A(:Ax):A(*:A*:A+:B8,:EXC$FCF(CGC@G@ClGCG CGCGCHpCHHCH!CH"CIH#CI#C,I$C@IX%CTI&ChI&CIh'CI(CI(CIx)CI(*CI*CI+CJ8,CMXK,NKN(KOKHO@KtOKO KOKOK$PpKPPKP!KP"K QH#K Q#K4Q$KHQX%K\Q&KpQ&KQh'KQ(KQ(KQx)KQ(*KQ*KR+KR8,KVXS4VSV(S$WSPW@S|WSW SWSXS,XpSXXSX!SY"SYH#S(Y#Su2u&uuu-VEMHEe EMHEHFtFWSR MEEHF,Mρ~ul}]~H^Lq~^yt-AQ@11 Yt1A9tBQQYxHyxyFZ[_n,e E MExu2WSRX t<xxxZ[_!9t9SRGHHHɋu/X فt} HZ[EM)) uO}'SROYu"Y S[t AA%AZ[Eݖ݆@t}'GHHxu!@ tSH X['GHHxu"SX tًX['Gxu"SX tًX['xR9ӋWtJ uFDP VDBZ'}Z'|RG 9ӋWt!JɋuFDP VDBZ'}Z'9tCO%a A A u( A 9 u '}'9t>O%a A SA u" A H[}'[GO +FN 9u}'RʁFH u NDAFDNt FDNHZ'GONO +N 9ӉFu}'RVFAJF u FDVDPnt +N ND AFZ'zMGFE )) u}'FH u NNDAFDNH'G +FONN ME 9u 9u}'FHF  u NDAFDnu NFDH'N NW񐐐W񐐐W񐐐W񐐐W񐐐W񐐐W񐐐W񐐐W񐐐W񐐐W񐐐W񐐐{ONE ݞ݆ܞEtu}'ȋH u NNDAFDNH'ON}t&NjIɋuFNDA NHFD'G +FGu}'SR^Pu VDBFDKu华 FDHZ['ON'GON + GF9u~ 'GF9t2HG ɋu(@%tDN FHN'}'GFM9uM 9t2HG ɋu(@%tDN FHN'}'E ݖܖON}Du:ϋFHG ɋu(@%tDN FHN'}'GFۉ}t4ϋHG ɋu%%@tDN FHN''GFHG ɋu#@%tDN FHN'$ONu>9t5NIG ɋu(@%tDN FHN'}'$ONuENjE9uE 9t2IG ɋu(@%tDN FHN'}'$ONu\ύE ٖؖ}Du:ϋFHG ɋu(@%tDN FHN'}'$ONu<ۋ}t5ϋFHG ɋu#@%tDN FHN'G$Fɉu2ϋHG ɋu#@%tDN FHN'ON9tAuHAH'}'M9ًONIuE 9tuHFHH'}'E ONݞ݆ܞDuȋIuHHH'}'GFHuHHH''GFu}HuHHH''GF9tHuLHL'}'M9ًONIuE 9tuLFHL'}'E ONݞ݆ܞDuAuLAL'}'ONu}AuLAL'ONAuLAL'GF9tHuPHP'}'MGF9ًHuE 9tɋuPFHP'}'E ONݖܖ}Du#ϋFHɋuPHP'}'GF}tϋHɋuPHP'GFHuPHP'GF9t%$uHuHHH'}'MGF9uM 9t%$uHuHHH'}'GFt%$uHɋHuHH'}'USRo9t#!uEuNDinD MZ[]'USRo9t( ӁuEuNDinD MZ[]'USRo9t#!uEuNDinD MZ[]'USRo9t( ӁuEuNDinD MZ[]'USRo9t( ӁuEuNDinD MZ[]'USRo9t( ӁuEuNDinD MZ[]'y'O +N9tPGRWP u V0PF0tWVZF N]n,eON F,9t"PA G F]n,u F0N0AXn,ON 9tEG FGFGF]RQn, u V0N0Q9tP u V0F0PZen,eXt +%Xt +%GFHEF9؋uE 9Љ}tNjuN0PFHF0XGFH}tNjuN0PFHF0XG9u}'Hu A H'ONAu FA HY'G $FONIɋu"t FA N H'W񐐐9u}'ONPAFu1NPI NYtPQN NFPNHYXNPYGSR9uZ['ۉىtZ['HtZ['NDAFD HZ['N@iF@! F@F@ G +9t#RSXt@Hu[Z'G +9t+SR@t +tXHuZ['G +9t)SR@tXHuZ['G +9t#SRXu@HuZ['EN@mN@iN@GS +RFO9uZ['QىYtZ['W~Qu u ^DKNDOuڍ FDH_Z['GSR9uZ['҉щtZ['HtZ['NDAFD HZ['SR^DC с%  ЉC ЋStCkKN@Z[ +CȉVDZ[NDAA)FDFD H R tZF@HᐐFDH R tZF@HF@HNDAFAFS^DKQ KNDX$KH$YND[ᐐLF@H^DS +CˉVD㐐G +FSRO9uZ['QYtZ['W~Q ^DKNDOuԍ FDH_Z['G9tSRفtuH PZuN,HF,'}'G9tbRځt щt сuH PZuN,HF,'}'  MEF,E AA uFDH AND E n,e  +^D CFCFCF FC tFvvv vv tXdžAKف[^D A  N,^DCFCFCF FC tFvvv vvHHt džH@HtdžCKف[^D A  N,FD - P FD%NDA F ~uKv@vDvFDF@NDN,FD@FDA NDN,~u;NDN,AFD H A AA FD~tNDN,AFD H A AA FDEF,M ;tS;tIAC[AE AESY p p ACkEE +$u ][n,emememeW񐐐W񐐐  | FD F0e WSRFD  BJ)) ) ) t NDdžZ[_ʪ!𐐐N 9t| ͋ND N N09tQND YND| !ME| e ;~fFdž FDA, AFHAFL FDdžߩFdž= Fdž< 'Fdž>(9ŋFD ndž?$ FdžH)FdžM^EE*FdžS>RSvv vvNNNHNLVF0F$F0FD| `ЋF$F F$n v F | `ЋF$&dž=FF %dž<FF # 9džFF FDA'F| dž>)FdžMdž| *FdžS$ FdžH^EF,F,En,EF, FFFF9F@NF@EF@ Fp Fp NAF@NiE1E +FEF,n,8F 9LF FFFF9F@NF@N F@ Fp Fp NAF @vFFN9NwFN9N F @F@NF AN AFN A7F @F@FF@F@N9FgF F9NF FFFF9F@NF@N p Fp NAF @vFFN9NxFN9N F @F@NF AN AFN A9FF FN9NKF @ F@N9NFA ø9Np H@p F FFAFN FF @ FN A FAFA ËF @vFFN9NxFN9N F @F@NF AN AFN A4F FF FF F3 F~6F FF FF FËF1F F + FFËNHNNLNqFFNH)NL) džF +dž!bFF@F@F@N)N) FËNH9NFFL H @ FFAFAAAF FFAvFFAvF FAFFA$ F@@N9FU@ A A A F FËF@F@ N9FF F@ @@N9FF@ FF@F@N9FF@ FA A A NA FFË@ A A A A FFË FFã񋆨 FF} 1ҊU1ۊ] EF,E8F,]n,} 1ۊ] 1ҊUEF,E EF$F$@ %9EE  @F@FF@NiFEEF$1E 1E +TdžKdž9'džJdž@@ $] 0 #FFF +} ]$U(EF,E:F,]U n,EF,EE} F$]$U(F$@ @9EE$E(  @F@FF@NiFEEF$mEmEG +9@@NDAFD@NDAFD NDA}|GG @9V1NDAFD NDA}F$džF$G@ +9@@@ NDAFDv@NDAFD NDA}GF$ +#\dž@F$WFD@FDF@%F@F@@XFD@NDANDFDSGF$@ +dž@@ F$\GF$@ +#dž@@ F$@ F$[F$@#%džF$F$G +F$ 9Ѧ@@NDAFD@NDAFDNDAF$EE G +)) @@NDAFD@NDAFD NDA}GF$ +dž@F$PEm0W@ ` S@ ""P "x " "Ȁ " " "@ "h " " " " "0 "X " " "Ђ " " "H "p " " " " "8 "` " " "؄ "P@ i f     @    @    @    @    @    @    @    @    @    @    @    @    @    @    @    @&$|^] +8 + +@ + +H + +P +$X,` +4h<pD&x%L.-T65\>=dFElNMtVULg +f +f +f +ti +h +i +h +k +j +(k +j +m +m +Pm +m +o +8o +xo +(o +r +`q +q +Pq +k d ?k d Ak d Bk d Dk d Ek d Gk d Hk d Jk d Kk d Mk d Nk d Pk d Qk d Sk d Tk d Vk d Wk d Yk d Zk d \k d ]k d _k d `k d bk d ck d ek d fk d hk d ik  k L k d \k d |k d \k d |k d \k d |k d \k d |k d \k d |k d \k d |k d \k d |k d \k d | k d \ k d | k d \k d |k d \k d |k d \k d |k d \k d |k d \k d |k d \k d |k d \ k d |!k k Ļk d k d 4k d k d 4k d k d 4k d k d 4k d k d 4k d k d 4k d k d 4k d k d 4k d ïk d 4įk d Ưk d 4ǯk d ɯk d 4ʯk d ̯k d 4ͯHj d dH,hL0=d p |  $ 0 < H T ` l x   , 8 D P \ h t    ( 4 @ L X d p |  $ 0 < H T ` l x   , 8 D P \ h t    ( 4 @ L X d p |  $ 0 < H T ` l x   , 8 D P \ h t    ( 4 @ L X d p |  $ 0 < H T ` l x   , 8 D P \ h t    ( 4 @ L X d p |  $ 0 < H T ` l x   , 8 D P \ h t    ( 4 @ L X d p |  $ 0 < H T ` l x d* T d* T d* T xd* T 0d* T d* T d* T Xd* T od* T O +d* T d +d* T d +d* T d +d* T d +d* T d +d* T d +d* T d +d* T d +Ll T Kd* T 8O +d* T xN +d* T M +d* T L +d* T  +d* T  +d* T Vd* T Vd* T Vd* T Vd* T Vd* T Vd* T Vd* T Vd* T Vd* T Vd* T 8L +d* T 8c +d* T 8c +d* T 8c +d* T 8c +d* T 8c +d* T 8c +d* T 8c +d* T 8c +Ll T Kd* T xK +d* T J +d* T I +d* T 8I +d* T $ +d* T $ +d* T Nd* T Nd* T Nd* T Nd* T Nd* T Nd* T Nd* T Nd* T Nd* T Nd* T xH +d* T a +d* T a +d* T a +d* T a +d* T a +d* T a +d* T a +d* T a +Ll T Kd* T G +d* T F +d* T 8F +d* T xE +d* T 4 +d* T 4 +d* T Fd* T Fd* T Fd* T Fd* T Fd* T Fd* T Fd* T Fd* T Fd* T Fd* T D +d* T ` +d* T ` +d* T ` +d* T ` +d* T ` +d* T ` +d* T ` +d* T ` +Ll T Kd* T C +d* T 8C +d* T xB +d* T A +d* T D +d* T D +d* T x>d* T x>d* T >d* T >d* T >d* T >d* T >d* T >d* T >d* T >d* T @ +d* T 0_ +d* T 0_ +d* T 0_ +d* T 0_ +d* T 0_ +d* T 0_ +d* T 0_ +d* T 0_ +Ll T Kd* T 8@ +d* T x? +d* T > +d* T = +d* T T +d* T T +d* T p6d* T p6d* T 6d* T 6d* T 6d* T 6d* T 6d* T 6d* T 6d* T 6d* T 8= +d* T ] +d* T ] +d* T ] +d* T ] +d* T ] +d* T ] +d* T ] +d* T ] +Ll T Kd* T x< +d* T ; +d* T : +d* T 8: +d* T d +d* T d +d* T h.d* T h.d* T .d* T .d* T .d* T .d* T .d* T .d* T .d* T .d* T x9 +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +Ll T Kd* T 8 +d* T 7 +d* T 87 +d* T x6 +d* T t +d* T t +d* T `&d* T `&d* T &d* T &d* T &d* T &d* T &d* T &d* T &d* T &d* T 5 +d* T ([ +d* T ([ +d* T ([ +d* T ([ +d* T ([ +d* T ([ +d* T ([ +d* T ([ +Ll T Kd* T 4 +d* T 84 +d* T x3 +d* T 2 +d* T +d* T +d* T Xd* T Xd* T d* T d* T d* T d* T d* T d* T d* T d* T 1 +d* T Y +d* T Y +d* T Y +d* T Y +d* T Y +d* T Y +d* T Y +d* T Y +Ll T Kd* T 81 +d* T x0 +d* T / +d* T . +d* T +d* T +d* T Pd* T Pd* T d* T d* T d* T d* T d* T d* T d* T d* T 8. +d* T xX +d* T xX +d* T xX +d* T xX +d* T xX +d* T xX +d* T xX +d* T xX +Ll T Kd* T x- +d* T , +d* T + +d* T 8+ +d* T +d* T +d* T Hd* T Hd* T d* T d* T d* T d* T d* T d* T d* T d* T x* +d* T W +d* T W +d* T W +d* T W +d* T W +d* T W +d* T W +d* T W +Ll T Kd* T ) +d* T ( +d* T 8( +d* T x' +d* T +d* T +d* T @d* T @d* T |d* T |d* T |d* T |d* T |d* T |d* T |d* T |d* T & +d* T U +d* T U +d* T U +d* T U +d* T U +d* T U +d* T U +d* T U +Ll T Kd* T % +d* T 8% +d* T x$ +d* T # +d* T Ķ +d* T Ķ +d* T 8 +d* T 8 +d* T t +d* T t +d* T t +d* T t +d* T t +d* T t +d* T t +d* T t +d* T " +d* T pT +d* T pT +d* T pT +d* T pT +d* T pT +d* T pT +d* T pT +d* T pT +Ll T Kd* T 8" +d* T x! +d* T +d* T  +d* T Բ +d* T Բ +d* T 0 +d* T 0 +d* T l +d* T l +d* T l +d* T l +d* T l +d* T l +d* T l +d* T l +d* T 8 +d* T S +d* T S +d* T S +d* T S +d* T S +d* T S +d* T S +d* T S +Ll T +Kd* T x +d* T  +d* T  +d* T 8 +d* T +d* T +d* T ( +d* T ( +d* T d +d* T d +d* T d +d* T d +d* T d +d* T d +d* T d +d* T d +d* T x +d* T Q +d* T Q +d* T Q +d* T Q +d* T Q +d* T Q +d* T Q +d* T Q +Ll T Kd* T  +d* T  +d* T 8 +d* T x +d* T +d* T +d* T +d* T +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T \ +d* T  +d* T hP +d* T hP +d* T hP +d* T hP +d* T hP +d* T hP +d* T hP +d* T hP +Ll T Kd* T  +d* T 8 +d* T x +d* T  +d* T  +d* T  +d* T ^d* T ^d* T ^d* T ^d* T ^d* T ^d* T ^d* T ^d* T ^d* T ^Ll d zJd* T 4g +d* T m) d md* T 0 +d* T +d* T  +d* T d +d* T +d* T < +d* T +d* T  +d* T ~ +d* T ~ +d* T h| +d* T | +d* T @z +d* T z +d* T x +d* T tx +d* T u +d* T Lv +d* T s +d* T $t +d* T q +d* T q +d* T xo +d* T o +d* T Pm +d* T m +d* T (k +d* T k +d* T i +d* T \i +d* T f +Ll d J) g +Ll d J) mLl d J) d f +Ll d J) L + +\ +֯ +( +P j  +Э +D $ (l |$ +AD + +l + +8 + j  + + (l $ +D< + +| + +H + j $ + + (l % ^G`\ + +X + Dj d |`D( ԉdH,Hj d Њ|`D( ԉdH,j 4 + + + +,(l & U~X +T + +h + +j D + + + +| + +H(l T& M~PL +' +x +h + +j T + +\ +< +d(l & E~HC +7 + +( +j d +0 + + (l & =~@; +G + + j t +@ + (l D' 5~83 +W + + j +P + | (l ' -~0+ +g + +h j +` +\ < ԉ(l ' %~|(# +w + +( j +p + (l 4( ~t  + + + j x + + (l ( ~l + + + j l Ľ + + | ((l ( +~d , + + +h j ` Թ + +\ < D(l $) ~\< + + +( j T + + `(l t) +~T +L +dz + + j H + + |(l ) +~L + +\ +ׯ +( + j <  +Э + | (l * +~D + +l + +8 +h j 0  + +\ < (l d* +~< + +| + +H +( j $ $ + + Њ(l * ^~`\ + +X + Dj d lzPz4zzyyyyypyTy8yyyxHj d zlzPz4zzyyyyypyTy8yyyxj  4 + +4 + +x(l + UnXT + +h + +j D + + + +y(l + MnPL +( +x + +j T + + + +y(l <, EnHD +8 + + +j d +0 +t T 8y(l , =n@; +H + +@ j t +@ +4  Ty(l , 5n83 +X + + j +P + py(l ,- -n0+ +h + + j +` + y(l |- %n|(# +x + + j +p +t T y(l - nt  + + +@ j + +4  y(l . nl + + + dk d {nk d |nk d {nk d |nk d {nk d |nk d {nk d |nk d {nk d |nk d {nk d |nk d {nk d |nk d {nk d |nk d YSk d XSk d XSk d XTk d XTk d XTk d X Tk d XTk d XTk d O +O +q d K +k d QKk d PKk d PKk d PKk d PKk d PLk d PLk d PLk d P Lk d 8L +K +q d K) +k d |ICk d HCk d HCk d HCk d HCk d HCk d HCk d HCk d HDk d xH +H +q d K9 +k d tA;k d @;k d @;k d @;k d @;k d @;k d @;k d @;k d @;k d D +ED +q d KI +k d l93k d 83k d 83k d 83k d 83k d 83k d 83k d 83k d 83k d @ +@ +q d KY +k d d1+k d 0+k d 0+k d 0+k d 0+k d 0+k d 0+k d 0+k d 0+k d 8= +< +q d Ki +k d \)#k d (#k d (#k d (#k d (#k d (#k d (#k d (#k d (#k d x9 +9 +q d Ky +k d T!k d k d k d k d k d k d k d k d k d 5 +E5 +q d K +k d Lk d k d k d k d k d k d k d k d k d 1 +1 +q d K +k d D k d  k d  k d  k d  k d  k d  k d  k d  k d 8. +- +q d K +k d < k d |j Ľ + + y(l t6 +nd , + + + j Թ + + y(l 6 n\< + + + j + +t T z(l 7 +nT +L +ȳ + +@ j + +4  4z(l d7 +nL + +\ +د +( + j |  +Э + Pz(l 7 +nD + +l + +8 + j p  + + lz(l 8 +n< + +| + +H + j d $ + +t T z(l T8 ^n`\ + +X +@ l X l +SX? +Hm d CCKCCCCCKCCCCCKCto L CO +C +CN +CN +CDM +CL +CK +C +CK +CDJ +CI +CH +CH +C0 +CDG +CF +Tp 9 CE +CE +CDD +C@ + KC +CB +CB +CDA +C@ +CP + K? +C? +CD> +C= +C< +C` + +K< +CD; +C: +C9 +C9 +Cp +KD8 +C7 +C6 +C6 +CD5 +C +K4 +C3 +C3 +CD2 +C1 +C +K0 +C0 +CD/ +C. +C- +C +K- +CD, +C+ +C* +C* +C +KD) +C( +C' +C' +CD& +C +K% +C$ +C$ +CD# +C" +CЯ +K! +C! +CD +C +C +C +K +CD +C +C +C +C +KD +C +C +C +CD +C +K +C +C +CD +(l d L +N +M +N +xN +EM +8O +L +l @ D +KP +(l d 8I +K +I +EJ +J +I +xK +H +l 4  +CH +(l d xE +EG +8F +F +F +E +G +E +l ( +;@ +(l d A +C +xB +B +8C +B +C +EA +l  ~ +38} +(l d = +? +> +? +x? +E> +8@ += +l  | ++0w{ +(l d 8: +< +: +E; +; +: +x< +9 +l  |z +#(Oy +(l d x6 +E8 +87 +7 +7 +6 +8 +6 +l Tx + 'w +(l d 2 +4 +x3 +3 +84 +3 +4 +E2 +l ,v +t +(l d . +0 +/ +0 +x0 +E/ +81 +. +l t + r +(l d 8+ +- ++ +E, +, ++ +x- +* +l q +p +dk d |k d |k d |k d |k d |k d |k d |k d x* +* +q d K +k d 4 +k d t +k d t +k d t +k d t +k d t +k d t +k d t +k d t +k d & +E& +q d Kɳ +k d , + +k d l + +k d l + +k d l + +k d l + +k d l + +k d l + +k d l + +k d l + +k d " +" +q d Kٯ +k d $ + +k d d + +k d d + +k d d + +k d d + +k d d + +k d d + +k d d + +k d d + +k d 8 + +q d +K +k d  + +k d \ + +k d \ + +k d \ + +k d \ + +k d \ + +k d \ + +k d \ + +k d \ + +k d x + +q d K +k d `\k d a\k d `\k d `\k d ` +\k d ` +\k d `\k d `\k d `\k d `\k d  +E +q d K +k +< +k d += +k d +> +k +@ +k t +A +k h | + +k d ؄ + +k d ؄ + +k P | + +k D | + +k 8 T + +k d + +k d + +k T + +k  T + +k  , + +k d + +k d + +k , + +k , + +k  +} +k d `~ +} +k d `~ +} +k  +} +k  +} +k | +t{ +k d 8| +u{ +k d 8| +v{ +k | +x{ +k | +y{ +k x z +Ly +k d z +My +k d z +Ny +k ` z +Py +k T z +Qy +k H x +$w +k d w +%w +k d w +&w +k 0 x +(w +k $ x +)w +k  dv +t +k d u +t +k d u +t +k dv +u +k dv +u +k >>s T \ +D +$ +s T D +$ + s T + +d +0 +  + + s T + +d +0 +d +D + s T + +d +0 + + + s T + +d +0 + + + s T + +d +0 +l +L + s T + +d +0 + + + s T + +d +0 + +  s T + +d +0 +t T s T ` +` +(s T + + +\ +( + + + +(s T |IHHH|HFFFs T L +4 + +s T 4 + + s T + +T + +L +, + s T + +T + + + + s T + +T + + + + s T + +T + +T +4 + s T + +T + + + + s T + +T + + + + s T + +T + +\ +< + s T + +T + + + +s T 6.& + + +| +^Hs T WOF>6.& + + + +_l d XSPK|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d XSPK|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d XTPK|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d XTPK|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +` \Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d XTPK|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d X TPL|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d X TPL|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\Hs T VNF>6.& + + +| +^Hs T WOF>6.& + + + +_l d XTPL|HCt@;l83d0+\(#T LD <4 +, + +$ + + + +`\(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇw(s T <dԇws T g +4g +&< P d x ȃ ܃   , @ T h | ̄   0 D X l Ѕ 4 H \ p Ԇ  $ 8 L ` t ć ؇  ( < P d x Ȉ ܈   , @ T h | ̉   0 D X l Њ 4 H \ p ԋ  $ 8 L ` t Č ، PEmq`0W@ P + 8 +p ,t H  , x,hP +h T z P + X +p , H  ,4 x,T h T ؊< P + xX +pp , H p , x, h T   P + $ +p ,l H  , x, h T h P + ȋ +p , H  ,, x,L h T 4 P +  +ph , H h , x, h T  P +  +p ,d H  ,| x, h  T @ P + 8T +p , H  ,$ x,D h  T , xQ + 8 +p` , H ` , x,Q +h T tz xQ + X| +p ,\ H  ,t x, h T | xQ + xH +p , H  , x,< h T $ xQ +  +pX , H X , x, h T L xQ + ȋ +p ,T H  ,l x, h T t xQ +  +p , H  , x,4 h T  xQ + x +pP , H P , x, h T $ xQ + 8D +p ,L H  ,d x, h T ll R + 8 +p , H  , x,S +h L T Xz R + Xl +pH , H H , x, h X T  R + x8 +p ,D H  ,\ x,| h d T d R +  +p , H  , x,$ h p T 0 R + ȋЬ +p@ , H @ , x, h | T x R +  +p ,< H  ,T x,t h T \ R + h +p , H  , x, h T  R + 84 +p8 , H 8 , x, h T P (T + 8 +p ,4 H  ,L x,pT +h  T  +8. > +. CCh T  > +.= +,> + + + 8{| +> +8. > +.Ѝ CCh dj T > +.> +,D? + + + @zH +? +8. ? +. CCh Lj T ? +.x? +,@ + +2 5`@ +8.X `@ +.p CCh  T `@ +. +,@ +6 + | + A +8. A +.8 CCh T  A +.$ +,A + + + | +A +8. A +. CCh T A +.A +,DB + + + 8{l +B +8. B +.؋ CCh Ll T B +.xB +,C + + + @z8 +`C +8. `C +. CCh 4l T `C +.8C +,C + +: = D +8.` D +.x CCh T  D +. +,D +> + | +D +8.( D +.@ CCh T D +. +,DE + + + | +E +8. E +. CCh T E +.xE +,F + + + 8{\ +`F +8.ȉ `F +. CCh 4n T `F +.8F +,F + + + @z( + G +8. G +. CCh n T  G +.F +,G + +C EG +8.h G +. CCh T G +.| +,DH +$F + | +H +8.0 H +.H CCh H T H +. +,I + + + | +`I +8. `I +. CCh T T `I +.8I +,I + + + 8{L + J +8.Ї J +. CCh p T  J +.I +,J + + + @z +J +8. J +. CCh p T J +.J +,DK + +K MK +8.p K +. CCh l T K +.l +,L +,N + | +`L +8.8 `L +.P CCh  T `L +. +,L + + + |p + M +8. M +. CCh  T  M +.L +,M + + + 8{< +M +8.؅ M +. CCh r T M +.M +,DN + + + @z +N +8. N +. CCh q T N +.xN +,O + +S V`O +8.x `O +. CCh 4 T `O +.\ +,O +4V + Z, 4 < pP + ,P +h V T  P +(,P + P +,P +, +h  T DT + + + +$ +X + + +P +  h  p + Zl  t $ | Q + ,Q +h X T xQ +(,R +xQ +,4R +, +h T D +x + + + +H +| + + d Dr +s +@@ +T +( +Dt +s +s +PLr + #s +X"iu +t +"# t +%# t + (# t +*#8 t +P-#` t +/#x h T e 4 d P v +K< #u +e F d e $F d #,v +h lR T pUt + +#Lv +X[t +#dv +#t +e > d lt +u +@H\0lv +u +u +PLt + #u +X"h  x +v +"# v +%# v + (# v +*#8 v +P-#` v +/#x h t T e <4 d x x +Kd #x +e E d e E d #Tx +h R T pUv + +#tx +X[v +#x +#v +e D> d v +w +@Pd8x +w +x +PLv + #w +X"Hf$$%Hz +y +"# y +%# y + (# y +*#8 y +P-#` y +/#x h D T e 3 d z +K #@z +e tE d e E d #|z +h R T pUy + +#z +X[y +#z +#x +e = d x +z +@X%l%@%z +z +,z +PLy + #z +X"d,,-p| +0{ +"# 0{ +%# 0{ + (# 0{ +*#8 0{ +P-#` 0{ +/#x h  T e 2 d ȼ } +K #h| +e $E d e 4E d #| +h R T pU0{ + +#| +X[0{ +#| +#{ +e = d z +(| +@`-t-H-| +@| +T| +PL0{ + #8| +X"b44$5~ +X} +"# X} +%# X} + (# X} +*#8 X} +P-#` X} +/#x h T e ,2 d 4 +Kܼ #~ +e D d e D d #~ +h ,S T pUX} + +#~ +X[X} +# +#<} +e T= d } +P~ +@h5|5P5  +h~ +|~ +PLX} + #`~ +X"a=<,= + +"#  +%#  + (#  +*#8  +P-#`  +/#x h T e |1 d  \ +K # +e D d e D d # +h \S T pU + +# +X[ +#, +#d +e = d 4 +x +@p==X=4 + + +PL + # +X"H_ED4E + +"# +%# + (# +*#8 +P-#` +/#x h T e 0 d @ +K, # +e 4D d e DD d # +h S T pU + +#< +X[ +#T +# +e < d \ + +@xEE`E\ + +̂ +PL + # +X"]ML d 0 +- +؁0 +- +Ю-Ph T ,, +< + + +$ +Y +0 +/ + / +`. +@ xpj + +< +p + + + +@ +[ +4 +4 +D3 +2 + +-0 + -H K - +h d T -4 +h d T (-h +h e T - +h d  +- +؁ +- +Ю-Xh T , +Dx + + + +Z +`4 +3 +2 + 2 +"@ x d  +-t +؁ +- +Ю-`&h x T , +L&h + + + +8\ + 8 +`7 +6 +5 +*@ xj + + +P + + + + +T^ +D< +; +: +: + +-@ + -X K - +h h T - +h h T (-H +h h T -| +h i T 8- +h 4i T - +h \i T H- +h i T Њ-l h i T  h- +h T ؔ- +h  T - +h  T -x< +h  T -L.e = d  +-d +؁ +-| +Ю-h.h @ T , +T.X + + + +] +; + ; +`: +9 +2@ xi + + +@ +t + + + +_ +@ +D? +> += + +-H + -` K - +h |j T - +h j T (-8 +h j T -l +h j T 8- +h k T - +h Dk T H- +h lk T Њ- h k T  h-t +h T ؔ- +h T - +h T -8@ +h T -T6e t= d  +-T +؁ +-l +Ю-p6h  T ,t +\6H +| + + +^ +? +> + > +`= +:@ xi + + +0 +d + + + +a +C +C +DB +A + +-P + -h K - +h dl T - +h l T (-( +h l T -\ +h l T 8- +h m T - +h ,m T H- +h Tm T Њ- +h |m T  h-d +h T ؔ- +h T - +h T -C +h T -\>e $= d  +-D +؁ +-\ +Ю-x>h T ,dd +d>8 +l + + +@` +`C +B +A + A +C@ xli + + + +T + + + +\b +G +F +F +DE + +-X + -p K - +h Ln T - +h tn T (- +h n T -L +h n T 8- +h n T - +h o T H- +h :/ :/ :/ :/Ȍ :8/ :`/ :/ :/( :؛/@ h l T h ` T h DS T e tD d 'Ke TD d e dD d 'KԺ JJJJJJJJ A/>h  T D/ +e 2 d D/5e 2 d @E/>h l T :0F/>:M/>x:U/t@h d d h d d h d d h d d h d d h d d h d d h d d :]/@:Hl/@!:pv/@}/tAe d e d e d e d e D d e d d e  d e  d /@ +e d e d e d e d e T d e t d e  d e  d ,i4 +` +4 +` +8@|A4 + +@A4 +@ +P@A4 + +`AA4 + +$AA4 + +Йx8AA4 +Ж +0LAA4 + +@yz`AB D + +\ + +4 +p +Lď + + + +T +0 +t + +, +P + + +o +DH +T +FC/ C/ C/ C/Њ C8/ C`/ C/ C/0 C؛/H h T T h H T h tS T e $D d (Ke D d e D d (K JJJJJJJJ A/Fh T D/ +e \1 d D/=e l1 d @E/Fh m T C0F/FCM/FxCU/|Hh d d h d d h d d h d d h d d h d d h d d h d d C]/HCHl/H!Cpv/H}/|Ie l d e d e d e d e $ d e D d e d d e  d /D +e | d e d e d e d e 4 d e T d e t d e  d ,li\ + +\ +` +HI\ + +HI\ +@ +<II\ + +DII\ + +,II\ + +d@II\ +Ж +lTII\ + +$yzhIJG + +H + +h4 +p + ď + + + +T +0 +H + + +P + + +po +L +D +NK/ K/ K/ K/؈ K8/ K`/ K/ K/8 K؛/P h < T h 0 T h S T e C d )Ke C d e C d )K JJJJJJJJ A/$Nh T D/ +e 0 d D/Ee 0 d @E/Nh o T K0F/NKM/OxKU/Ph d d h d d h d d h d d h d d h d d h d d h d d K]/PKHl/P!Kpv/P}/Qe L d e l d e d e d e  d e $ d e D d e d d /xH +e \ d e | d e d e d e  d e 4 d e T d e t d ,8i + + +` +PQ + +pPQ +@ +p( QQ + +( QQ + +4QQ + +PHQQ +Ж +P\QR + +yzpQRK + +`{ + +<4 +p +ď + + + +dT +0 + + +ԏ +P + + +Do +O +4 +VS/ S/ S/Ȇ S/ S8/ S`/ S/( S/@ S؛/X h $ T h  T h S T e C d *Ke dC d e tC d *K JJJJJJJJ A/,Vh h T D/ +e / d D/Me 0 d @E/Vh q T S0F/VSM/ WxSU/Xh d d h d d h d d h d d h d d h d d h d d h d d S]/XSHl/X!Spv/X}/Ye , d e L d e l d e d e  d e  d e $ d e D d /8L +e < d e \ d e | d e d e  d e  d e 4 d e T d ,i +؆ + +` +XY + +\YY +@ +TYY + + ̻(YY + +ĩ + .> + .? + .`@ + . A + .A + .B + .`C + . D + .D + .E + .`F + . G + .G + .H + .`I + . J + .J + .K + .`L + . M + .M + .N + .`O +$o a@8n CxJ) c) c) cP++[P*+[P)+[P(+[X'+[X&+[X%+[X$+[Pk/ +Pj/ +Pi/ +Ph/ +Xg/ +Xf/ +Xe/ +Xd/ +Pk/ +Pj/ +Pi/ +Ph/ +Xg/ +Xf/ +Xe/ +Xd/ +Pk/ +Pj/ +Pi/ +Ph/ +Xg/ +Xf/ +Xe/ +Xd/ +Pk/ +Pj/ +Pi/ +Ph/ +Xg/ +Xf/ +Xe/ +Xd/ +Pk/Pj/Pi/Ph/Xg/Xf/Xe/Xd/Pk/ +Pj/ +Pi/ +Ph/ +Xg/ +Xf/ +Xe/ +Xd/ +Pk/Pj/Pi/Ph/Xg/Xf/Xe/Xd/Pk/Pj/Pi/Ph/Xg/Xf/Xe/Xd/Pk/"Pj/"Pi/"Ph/"Xg/"Xf/"Xe/"Xd/"Pk/*Pj/*Pi/*Ph/*Xg/*Xf/*Xe/*Xd/*Pk/2Pj/2Pi/2Ph/2Xg/2Xf/2Xe/2Xd/2Pk/:Pj/:Pi/:Ph/:Xg/:Xf/:Xe/:Xd/:Pk/CPj/CPi/CPh/CXg/CXf/CXe/CXd/CPk/KPj/KPi/KPh/KXg/KXf/KXe/KXd/KPk/SPj/SPi/SPh/SXg/SXf/SXe/SXd/S#e +#g +#i +#l +#@n +#hp +#r +#t +#v +#y +#0{ +#X} +# +# +#Ѓ +# +X- +X- +X- +X-p +X-` +X-P +X-@ +X-0 +X- +X- +X- +X- +X- +X- +X- +X- + +[ @/ + @/ + @/ + @/ + @/ @/ + @/ @/ @/" @/* @/2 @/: @/C @/K @/S#e +#g +#i +#l +#@n +#hp +#r +#t +#v +#y +#0{ +#X} +# +# +#Ѓ +# +$o PEm@[@ `q PEmP_@`@[@PEmr `P_@ PEmv @`r  @h@30* +@T@PEm@`vHTA_DC_TCpKCHGTDFTEXNdLNtL@OLOL`TKdK8tK :tpKK8LLMpMlK0mKm$Km4K nDK/@! c/X/}/ @(M/A!/B!/(B!/8B!/HB!/XB!/hB!/B!/pB!/B!/B!/B!/B!/B!/C!/C!/TC!/ @/Tu @0 hX + T +, $ + +4 | + L  + t  + T , +|@l 8$ + +"VDTmVCM4;g +RmVGMT;i'  +mVVMt ;) +mV_8lGM,t;QJmVVM@ ; SGl|mVuCM ;, +EmVuGM ;0mVVM;JMV$4mV60CMh +;B +vmV60GMl ;(mVVM, +;jmVCM  ;+rpmV"ʯCMTT;rpmVGM;d  mV"ʯGMh;ߡ mVVM;}  mVCM@ g;Ao .mVGMt g;mVVM  g; 7` +  mV;CM L g;6~mV;GM, + +g;6 +SmVVM g;|P l +| +mVICM +|g;g mVPCM +t| +g;CU mVkCM g;<mV.CML +g;)mV.GM @g;-dmVIGM  g;wdmVkGM g;dmVPGM g;?(dmVVM g;z +\ l mV$CM g;O?mV$GM g;mVVMg;ѶG+ +$ +sFSSX +@ X +; +mViCM + +m;:mViGM +m;g +<mVVMm;'"(e8 +.\< *(J % +,8`? 8N,;XXXX/@2YY5\2G??R=Y,@%%R0o c +`y&T22E'xtwn1A3Zaggr-N!O"C;dfP5[88O-r~}d;V%@,,[9fjip/B#P$A3lccv)J%K&G?`h +R;]]*Htuy^?M//X:eij s,X7GG0R +`rqL?S%%Q4G33V" \ No newline at end of file Index: tags/start/sim/rtl_sim/run/ncwork/.cdsvmod =================================================================== Index: tags/start/sim/rtl_sim/run/ncwork/cds.lib =================================================================== --- tags/start/sim/rtl_sim/run/ncwork/cds.lib (nonexistent) +++ tags/start/sim/rtl_sim/run/ncwork/cds.lib (revision 3) @@ -0,0 +1 @@ +DEFINE work ../ncwork Index: tags/start/sim/rtl_sim/run/ncwork/hdl.var =================================================================== --- tags/start/sim/rtl_sim/run/ncwork/hdl.var (nonexistent) +++ tags/start/sim/rtl_sim/run/ncwork/hdl.var (revision 3) @@ -0,0 +1,30 @@ +#***************************************************************************** +# NCSIM hdl.var template * +#***************************************************************************** + +#This file allows commonly used tool setups to be invoked automatically. +#All the switches may be alternatively specifed on the command line. + +#reference the tool installation hdl.var - DO NOT REMOVE + +INCLUDE $CDS_INST_DIR/tools/inca/files/hdl.var + +# These are default settings for NCVLOG, NCVHDL, NCELAB, NCSIM +# See below for commonly used switches. + +DEFINE NCVLOGOPTS -NOCOPYRIGHT -UPDATE +DEFINE NCVHDLOPTS -NOCOPYRIGHT -UPDATE +DEFINE NCELABOPTS -NOCOPYRIGHT +DEFINE NCSIMOPTS -NOCOPYRIGHT -NOKEY -STATUS + +#Maps the work library to a logical library. +#This library will contain the compiled design units +#Can be overriden on the command line with -work +#DEFINE WORK work +DEFINE work ../ncwork + +# Define valid Verilog file extensions +DEFINE VERILOG_SUFFIX (.v, .vr, .vb, .vg) + +# Define valid VHDL file extensions +DEFINE VHDL_SUFFIX (.vhd, .vhdl) Index: tags/start/sim/rtl_sim/run/waves/waves.do =================================================================== --- tags/start/sim/rtl_sim/run/waves/waves.do (nonexistent) +++ tags/start/sim/rtl_sim/run/waves/waves.do (revision 3) @@ -0,0 +1,471 @@ +// Signalscan Version 6.7p1 + + +define noactivityindicator +define analog waveform lines +define add variable default overlay off +define waveform window analogheight 1 +define terminal automatic +define buttons control \ + 1 opensimmulationfile \ + 2 executedofile \ + 3 designbrowser \ + 4 waveform \ + 5 source \ + 6 breakpoints \ + 7 definesourcessearchpath \ + 8 exit \ + 9 createbreakpoint \ + 10 creategroup \ + 11 createmarker \ + 12 closesimmulationfile \ + 13 renamesimmulationfile \ + 14 replacesimulationfiledata \ + 15 listopensimmulationfiles \ + 16 savedofile +define buttons waveform \ + 1 undo \ + 2 cut \ + 3 copy \ + 4 paste \ + 5 delete \ + 6 zoomin \ + 7 zoomout \ + 8 zoomoutfull \ + 9 expand \ + 10 createmarker \ + 11 designbrowser:1 \ + 12 savedofile \ + 13 replacesimulationfiledata \ + 14 variableradixdecimal \ + 15 variableradixhexadecimal \ + 16 variableradixascii +define buttons designbrowser \ + 1 undo \ + 2 cut \ + 3 copy \ + 4 paste \ + 5 delete \ + 6 cdupscope \ + 7 getallvariables \ + 8 getdeepallvariables \ + 9 addvariables \ + 10 addvarsandclosewindow \ + 11 closewindow \ + 12 scopefiltermodule \ + 13 scopefiltertask \ + 14 scopefilterfunction \ + 15 scopefilterblock \ + 16 scopefilterprimitive +define buttons event \ + 1 undo \ + 2 cut \ + 3 copy \ + 4 paste \ + 5 delete \ + 6 move \ + 7 closewindow \ + 8 duplicate \ + 9 defineasrisingedge \ + 10 defineasfallingedge \ + 11 defineasanyedge \ + 12 variableradixbinary \ + 13 variableradixoctal \ + 14 variableradixdecimal \ + 15 variableradixhexadecimal \ + 16 variableradixascii +define buttons source \ + 1 undo \ + 2 cut \ + 3 copy \ + 4 paste \ + 5 delete \ + 6 createbreakpoint \ + 7 creategroup \ + 8 createmarker \ + 9 createevent \ + 10 createregisterpage \ + 11 closewindow \ + 12 opensimmulationfile \ + 13 closesimmulationfile \ + 14 renamesimmulationfile \ + 15 replacesimulationfiledata \ + 16 listopensimmulationfiles +define buttons register \ + 1 undo \ + 2 cut \ + 3 copy \ + 4 paste \ + 5 delete \ + 6 createregisterpage \ + 7 closewindow \ + 8 continuefor \ + 9 continueuntil \ + 10 continueforever \ + 11 stop \ + 12 previous \ + 13 next \ + 14 variableradixbinary \ + 15 variableradixhexadecimal \ + 16 variableradixascii +define show related transactions +define exit noprompt +define event search direction forward +define variable fullhierarchy +define variable nofilenames +define variable nofullpathfilenames +include bookmark with filenames +include scope history without filenames +define waveform window listpane 10.93 +define waveform window namepane 18.98 +define multivalueindication +define pattern curpos dot +define pattern cursor1 dot +define pattern cursor2 dot +define pattern marker dot +define print designer "Rudolf Usselmann" +define print border +define print color blackonwhite +define print command "/usr/ucb/lpr -P%P" +define print printer lp +define print range visible +define print variable visible +define rise fall time low threshold percentage 10 +define rise fall time high threshold percentage 90 +define rise fall time low value 0 +define rise fall time high value 3.3 +define sendmail command "/usr/lib/sendmail" +define sequence time width 30.00 +define snap + +define source noprompt +define time units default +define userdefinedbussymbol +define user guide directory "/usr/local/designacc/signalscan-6.5s2/doc/html" +define waveform window grid off +define waveform window waveheight 14 +define waveform window wavespace 6 +define web browser command netscape +define zoom outfull on initial add off +add group \ + "System" \ + test.clk \ + test.rst \ + +add group \ + "Master 0" \ + test.m0_cyc_i \ + test.m0_stb_i \ + test.m0_sel_i[3:0]'h \ + test.m0_addr_i[31:0]'h \ + test.m0_data_i[31:0]'h \ + test.m0_data_o[31:0]'h \ + test.m0_we_i \ + test.m0_ack_o \ + test.m0_err_o \ + test.m0_rty_o \ + +add group \ + "Master 1" \ + test.m1_cyc_i \ + test.m1_stb_i \ + test.m1_sel_i[3:0]'h \ + test.m1_addr_i[31:0]'h \ + test.m1_data_i[31:0]'h \ + test.m1_data_o[31:0]'h \ + test.m1_we_i \ + test.m1_ack_o \ + test.m1_err_o \ + test.m1_rty_o \ + +add group \ + "Master 2" \ + test.m2_cyc_i \ + test.m2_stb_i \ + test.m2_sel_i[3:0]'h \ + test.m2_addr_i[31:0]'h \ + test.m2_data_i[31:0]'h \ + test.m2_data_o[31:0]'h \ + test.m2_we_i \ + test.m2_ack_o \ + test.m2_err_o \ + test.m2_rty_o \ + +add group \ + "Master 3" \ + test.m3_cyc_i \ + test.m3_stb_i \ + test.m3_sel_i[3:0]'h \ + test.m3_addr_i[31:0]'h \ + test.m3_data_i[31:0]'h \ + test.m3_data_o[31:0]'h \ + test.m3_we_i \ + test.m3_ack_o \ + test.m3_err_o \ + test.m3_rty_o \ + +add group \ + "Master 4" \ + test.m4_cyc_i \ + test.m4_stb_i \ + test.m4_sel_i[3:0]'h \ + test.m4_addr_i[31:0]'h \ + test.m4_data_i[31:0]'h \ + test.m4_data_o[31:0]'h \ + test.m4_we_i \ + test.m4_ack_o \ + test.m4_err_o \ + test.m4_rty_o \ + +add group \ + "Master 5" \ + test.m5_cyc_i \ + test.m5_stb_i \ + test.m5_sel_i[3:0]'h \ + test.m5_addr_i[31:0]'h \ + test.m5_data_i[31:0]'h \ + test.m5_data_o[31:0]'h \ + test.m5_we_i \ + test.m5_ack_o \ + test.m5_err_o \ + test.m5_rty_o \ + +add group \ + "Master 6" \ + test.m6_cyc_i \ + test.m6_stb_i \ + test.m6_sel_i[3:0]'h \ + test.m6_addr_i[31:0]'h \ + test.m6_data_i[31:0]'h \ + test.m6_data_o[31:0]'h \ + test.m6_we_i \ + test.m6_ack_o \ + test.m6_err_o \ + test.m6_rty_o \ + +add group \ + "Master 7" \ + test.m7_cyc_i \ + test.m7_stb_i \ + test.m7_sel_i[3:0]'h \ + test.m7_addr_i[31:0]'h \ + test.m7_data_i[31:0]'h \ + test.m7_data_o[31:0]'h \ + test.m7_we_i \ + test.m7_ack_o \ + test.m7_err_o \ + test.m7_rty_o \ + +add group \ + "Slave 0" \ + test.s0_cyc_o \ + test.s0_stb_o \ + test.s0_sel_o[3:0]'h \ + test.s0_addr_o[31:0]'h \ + test.s0_data_i[31:0]'h \ + test.s0_data_o[31:0]'h \ + test.s0_we_o \ + test.s0_ack_i \ + test.s0_err_i \ + test.s0_rty_i \ + +add group \ + "Slave 1" \ + test.s1_cyc_o \ + test.s1_stb_o \ + test.s1_sel_o[3:0]'h \ + test.s1_addr_o[31:0]'h \ + test.s1_data_i[31:0]'h \ + test.s1_data_o[31:0]'h \ + test.s1_we_o \ + test.s1_ack_i \ + test.s1_err_i \ + test.s1_rty_i \ + +add group \ + "Slave 2" \ + test.s2_cyc_o \ + test.s2_stb_o \ + test.s2_sel_o[3:0]'h \ + test.s2_addr_o[31:0]'h \ + test.s2_data_i[31:0]'h \ + test.s2_data_o[31:0]'h \ + test.s2_we_o \ + test.s2_ack_i \ + test.s2_err_i \ + test.s2_rty_i \ + +add group \ + "Slave 3" \ + test.s3_cyc_o \ + test.s3_stb_o \ + test.s3_sel_o[3:0]'h \ + test.s3_addr_o[31:0]'h \ + test.s3_data_i[31:0]'h \ + test.s3_data_o[31:0]'h \ + test.s3_we_o \ + test.s3_ack_i \ + test.s3_err_i \ + test.s3_rty_i \ + +add group \ + "Slave 4" \ + test.s4_cyc_o \ + test.s4_stb_o \ + test.s4_sel_o[3:0]'h \ + test.s4_addr_o[31:0]'h \ + test.s4_data_i[31:0]'h \ + test.s4_data_o[31:0]'h \ + test.s4_we_o \ + test.s4_ack_i \ + test.s4_err_i \ + test.s4_rty_i \ + +add group \ + "Slave 5" \ + test.s5_cyc_o \ + test.s5_stb_o \ + test.s5_sel_o[3:0]'h \ + test.s5_addr_o[31:0]'h \ + test.s5_data_i[31:0]'h \ + test.s5_data_o[31:0]'h \ + test.s5_we_o \ + test.s5_ack_i \ + test.s5_err_i \ + test.s5_rty_i \ + +add group \ + "Slave 6" \ + test.s6_cyc_o \ + test.s6_stb_o \ + test.s6_sel_o[3:0]'h \ + test.s6_addr_o[31:0]'h \ + test.s6_data_i[31:0]'h \ + test.s6_data_o[31:0]'h \ + test.s6_we_o \ + test.s6_ack_i \ + test.s6_err_i \ + test.s6_rty_i \ + +add group \ + "Slave 7" \ + test.s7_cyc_o \ + test.s7_stb_o \ + test.s7_sel_o[3:0]'h \ + test.s7_addr_o[31:0]'h \ + test.s7_data_i[31:0]'h \ + test.s7_data_o[31:0]'h \ + test.s7_we_o \ + test.s7_ack_i \ + test.s7_err_i \ + test.s7_rty_i \ + +add group \ + "Slave 8" \ + test.s8_cyc_o \ + test.s8_stb_o \ + test.s8_sel_o[3:0]'h \ + test.s8_addr_o[31:0]'h \ + test.s8_data_i[31:0]'h \ + test.s8_data_o[31:0]'h \ + test.s8_we_o \ + test.s8_ack_i \ + test.s8_err_i \ + test.s8_rty_i \ + +add group \ + "Slave 9" \ + test.s9_cyc_o \ + test.s9_stb_o \ + test.s9_sel_o[3:0]'h \ + test.s9_addr_o[31:0]'h \ + test.s9_data_i[31:0]'h \ + test.s9_data_o[31:0]'h \ + test.s9_we_o \ + test.s9_ack_i \ + test.s9_err_i \ + test.s9_rty_i \ + +add group \ + "Slave 10" \ + test.s10_cyc_o \ + test.s10_stb_o \ + test.s10_sel_o[3:0]'h \ + test.s10_addr_o[31:0]'h \ + test.s10_data_i[31:0]'h \ + test.s10_data_o[31:0]'h \ + test.s10_we_o \ + test.s10_ack_i \ + test.s10_err_i \ + test.s10_rty_i \ + +add group \ + "Slave 11" \ + test.s11_cyc_o \ + test.s11_stb_o \ + test.s11_sel_o[3:0]'h \ + test.s11_addr_o[31:0]'h \ + test.s11_data_i[31:0]'h \ + test.s11_data_o[31:0]'h \ + test.s11_we_o \ + test.s11_ack_i \ + test.s11_err_i \ + test.s11_rty_i \ + +add group \ + "Slave 12" \ + test.s12_cyc_o \ + test.s12_stb_o \ + test.s12_sel_o[3:0]'h \ + test.s12_addr_o[31:0]'h \ + test.s12_data_i[31:0]'h \ + test.s12_data_o[31:0]'h \ + test.s12_we_o \ + test.s12_ack_i \ + test.s12_err_i \ + test.s12_rty_i \ + +add group \ + "Slave 13" \ + test.s13_cyc_o \ + test.s13_stb_o \ + test.s13_sel_o[3:0]'h \ + test.s13_addr_o[31:0]'h \ + test.s13_data_i[31:0]'h \ + test.s13_data_o[31:0]'h \ + test.s13_we_o \ + test.s13_ack_i \ + test.s13_err_i \ + test.s13_rty_i \ + +add group \ + "Slave 14" \ + test.s14_cyc_o \ + test.s14_stb_o \ + test.s14_sel_o[3:0]'h \ + test.s14_addr_o[31:0]'h \ + test.s14_data_i[31:0]'h \ + test.s14_data_o[31:0]'h \ + test.s14_we_o \ + test.s14_ack_i \ + test.s14_err_i \ + test.s14_rty_i \ + +add group \ + "Slave 15" \ + test.s15_cyc_o \ + test.s15_stb_o \ + test.s15_sel_o[3:0]'h \ + test.s15_addr_o[31:0]'h \ + test.s15_data_i[31:0]'h \ + test.s15_data_o[31:0]'h \ + test.s15_we_o \ + test.s15_ack_i \ + test.s15_err_i \ + test.s15_rty_i \ + + +deselect all +open window designbrowser 1 geometry 56 118 1075 799 +open window waveform 1 geometry 10 59 1272 919 +zoom at 274.11(0)ns 0.07173877 0.00000000 Index: tags/start/sim/rtl_sim/bin/Makefile =================================================================== --- tags/start/sim/rtl_sim/bin/Makefile (nonexistent) +++ tags/start/sim/rtl_sim/bin/Makefile (revision 3) @@ -0,0 +1,148 @@ + +all: sim + +SHELL = /bin/sh +MS=-s + +########################################################################## +# +# DUT Sources +# +########################################################################## +DUT_SRC_DIR=../../../rtl/verilog +_TARGETS_= $(DUT_SRC_DIR)/wb_conmax_top.v \ + $(DUT_SRC_DIR)/wb_conmax_slave_if.v \ + $(DUT_SRC_DIR)/wb_conmax_master_if.v \ + $(DUT_SRC_DIR)/wb_conmax_msel.v \ + $(DUT_SRC_DIR)/wb_conmax_arb.v \ + $(DUT_SRC_DIR)/wb_conmax_pri_enc.v \ + $(DUT_SRC_DIR)/wb_conmax_pri_dec.v \ + $(DUT_SRC_DIR)/wb_conmax_rf.v + + +########################################################################## +# +# Test Bench Sources +# +########################################################################## +_TOP_=test +TB_SRC_DIR=../../../bench/verilog +_TB_= $(TB_SRC_DIR)/test_bench_top.v \ + $(TB_SRC_DIR)/wb_slv_model.v \ + $(TB_SRC_DIR)/wb_mast_model.v + +########################################################################## +# +# Misc Variables +# +########################################################################## + +INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/" +LOGF=-LOGFILE .nclog +NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT +UMC_LIB=/tools/dc_libraries/virtual_silicon/umc_lib.v +GATE_NETLIST = ../../../syn/out/wb_dma_top_ps.v + +########################################################################## +# +# Make Targets +# +########################################################################## + +ss: + signalscan -do waves/waves.do -waves waves/waves.trn & + +simxl: + verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR) \ + $(_TARGETS_) $(_TB_) + +simw: + @$(MAKE) $(MS) sim ACCESS="-ACCESS +r" WAVES="-DEFINE WAVES" + +sim: + @echo "" + @echo "----- Running NCVLOG ... ----------" + @$(MAKE) $(MS) vlog \ + TARGETS="$(_TARGETS_)" \ + TB="$(_TB_)" \ + INCDIR=$(INCDIR) \ + WAVES="$(WAVES)" + @echo "" + @echo "----- Running NCELAB ... ----------" + @$(MAKE) $(MS) elab \ + ACCESS="$(ACCESS)" TOP=$(_TOP_) + @echo "" + @echo "----- Running NCSIM ... ----------" + @$(MAKE) $(MS) ncsim TOP=$(_TOP_) + @echo "" + +gatew: + @$(MAKE) -s gate ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES" + +gate: + @echo "" + @echo "----- Running NCVLOG ... ----------" + @$(MAKE) $(MS) vlog \ + TARGETS="$(UMC_LIB) $(GATE_NETLIST)" \ + TB="$(_TB_)" \ + INCDIR=$(INCDIR) \ + WAVES="$(WAVES)" + @echo "" + @echo "----- Running NCELAB ... ----------" + @$(MAKE) $(MS) elab \ + ACCESS="$(ACCESS)" TOP=$(_TOP_) + @echo "" + @echo "----- Running NCSIM ... ----------" + @$(MAKE) $(MS) ncsim TOP=$(_TOP_) + @echo "" + +hal: + @echo "" + @echo "----- Running HAL ... ----------" + @hal -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK \ + +incdir+$(DUT_SRC_DIR) $(_TARGETS_) + @echo "----- DONE ... ----------" + +clean: + rm -rf ./waves/*.dsn ./waves/*.trn \ + ncwork/inc* ncwork/.inc* \ + ./verilog.* .nclog hal.log + +########################################################################## +# +# NCVLOG +# +########################################################################## + +vhdl: + ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \ + -WORK count -V93 hdl/counter.vhd + ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \ + -WORK work -V93 $(TARGETS) + +vlog: + ncvlog $(NCCOMMON) $(LOGF) \ + -WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR) + +########################################################################## +# +# NCELAB +# +########################################################################## + +elab: + ncelab $(NCCOMMON) $(LOGF) -APPEND_LOG \ + -WORK work $(ACCESS) -NOTIMINGCHECKS \ + work.$(TOP) + +########################################################################## +# +# NCSIM +# +########################################################################## + +ncsim: + ncsim $(NCCOMMON) $(LOGF) -APPEND_LOG \ + -EXIT -ERRORMAX 10 work.$(TOP) + +
tags/start/sim/rtl_sim/bin/Makefile Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: tags/start/vim_session.vim =================================================================== --- tags/start/vim_session.vim (nonexistent) +++ tags/start/vim_session.vim (revision 3) @@ -0,0 +1,144 @@ +set nocompatible +let s:cpo_save=&cpo +set cpo&vim +map! +map! +map! +map! +map! +map! +map! +map! +map! +map! +nnoremap 6_Paste "=@+.'xy' +gPFx"_2x:echo +map +map +map +map +map +map +map +map +map +map +let &cpo=s:cpo_save +unlet s:cpo_save +set background=dark +set iskeyword=@,48-57,_,192-255,+,-,? +set mouse=a +if &syntax != 'verilog' +set syntax=verilog +endif +let s:so_save = &so | let s:siso_save = &siso | set so=0 siso=0 +let v:this_session=expand(":p") +silent only +cd ~/bender_cores/wb_conmax +set shortmess=aoO +badd +16 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_defines.v +badd +180 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_top.v +badd +18 ~/bender_cores/wb_conmax/sim/rtl_sim/bin/Makefile +badd +96 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_arb.v +badd +3 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_master_if.v +badd +103 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_slave_if.v +badd +389 ~/bender_cores/wb_conmax/bench/verilog/test_bench_top.v +badd +51 ~/bender_cores/wb_conmax/bench/verilog/wb_model_defines.v +badd +1 ~/bender_cores/wb_conmax/bench/verilog/wb_slv_model.v +badd +6 ~/bender_cores/wb_conmax/bench/verilog/wb_mast_model.v +badd +73 ~/bender_cores/wb_conmax/bench/verilog/tests.v +badd +106 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_msel.v +badd +3 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_pri_dec.v +badd +119 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_pri_enc.v +badd +0 ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_rf.v +silent! argdel * +set splitbelow splitright +set nosplitbelow +set nosplitright +normal t +set winheight=1 winwidth=1 +argglobal +edit ~/bender_cores/wb_conmax/rtl/verilog/wb_conmax_rf.v +setlocal noautoindent +setlocal autoread +setlocal nobinary +setlocal bufhidden= +setlocal buflisted +setlocal buftype= +setlocal nocindent +setlocal cinkeys=0{,0},:,0#,!^F,o,O,e +setlocal cinoptions= +setlocal cinwords=if,else,while,do,for,switch +setlocal comments=s1:/*,mb:*,ex:*/,://,b:#,:%,:XCOMM,n:>,fb:- +setlocal commentstring=/*%s*/ +setlocal complete=.,w,b,u,t,i +setlocal define= +setlocal dictionary= +setlocal nodiff +setlocal equalprg= +setlocal errorformat= +setlocal noexpandtab +if &filetype != 'verilog' +setlocal filetype=verilog +endif +setlocal foldcolumn=0 +setlocal foldenable +setlocal foldexpr=0 +setlocal foldignore=# +setlocal foldlevel=0 +setlocal foldmarker={{{,}}} +setlocal foldmethod=manual +setlocal foldminlines=1 +setlocal foldnestmax=20 +setlocal foldtext=foldtext() +setlocal formatoptions=tcq +setlocal grepprg= +setlocal iminsert=0 +setlocal imsearch=0 +setlocal include=^#\\s*include +setlocal includeexpr= +setlocal indentexpr= +setlocal indentkeys=0{,0},:,0#,!^F,o,O,e +setlocal noinfercase +setlocal iskeyword=@,48-57,_,192-255,+,-,? +setlocal nolinebreak +setlocal nolisp +setlocal nolist +setlocal makeprg= +setlocal matchpairs=(:),{:},[:] +setlocal modeline +setlocal modifiable +setlocal nrformats=octal,hex +setlocal nonumber +setlocal path= +setlocal nopreviewwindow +setlocal noreadonly +setlocal noscrollbind +setlocal shiftwidth=8 +setlocal noshortname +setlocal nosmartindent +setlocal softtabstop=0 +setlocal suffixesadd= +setlocal swapfile +if &syntax != 'verilog' +setlocal syntax=verilog +endif +setlocal tabstop=8 +setlocal tags= +setlocal textwidth=0 +setlocal thesaurus= +setlocal wrap +setlocal wrapmargin=0 +silent! normal zE +let s:l = 10 - ((0 * winheight(0) + 29) / 58) +if s:l < 1 | let s:l = 1 | endif +exe s:l +normal zt +10 +normal 0 +set winheight=1 winwidth=20 shortmess=filnxtToO +let s:sx = expand(":p:r")."x.vim" +if file_readable(s:sx) + exe "source " . s:sx +endif +let &so = s:so_save | let &siso = s:siso_save Index: tags/start/syn/bin/read.dc =================================================================== --- tags/start/syn/bin/read.dc (nonexistent) +++ tags/start/syn/bin/read.dc (revision 3) @@ -0,0 +1,66 @@ +############################################################################### +# +# Pre Synthesis Script +# +# This script only reads in the design and saves it in a DB file +# +# Author: Rudolf Usselmann +# rudi@asics.ws +# +# Revision: +# 3/7/01 RU Initial Sript +# +# +############################################################################### + +# ============================================== +# Setup Design Parameters +source ../bin/design_spec.dc + +# ============================================== +# Setup Libraries +source ../bin/lib_spec.dc + +# ============================================== +# Setup IO Files + +append log_file ../log/$active_design "_pre.log" +append pre_comp_db_file ../out/$design_name "_pre.db" + +sh rm -f $log_file + +# ============================================== +# Setup Misc Variables + +set hdlin_enable_vpp true ;# Important - this enables 'ifdefs + +# ============================================== +# Read Design + +echo "+++++++++ Analyzing all design files ..." >> $log_file + +foreach module $design_files { + echo "+++++++++ Reading: $module" >> $log_file + echo +++++++++ Reading: $module + set module_file_name "" + append module_file_name $module ".v" + analyze -f verilog $module_file_name >> $log_file + elaborate $module >> $log_file + } + +current_design $active_design + +echo "+++++++++ Linking Design ..." >> $log_file +link >> $log_file + +echo "+++++++++ Uniquifying Design ..." >> $log_file +uniquify >> $log_file + +echo "+++++++++ Checking Design ..." >> $log_file +check_design >> $log_file + +# ============================================== +# Save Design +echo "+++++++++ Saving Design ..." >> $log_file +write_file -hierarchy -format db -output $pre_comp_db_file + Index: tags/start/syn/bin/comp.dc =================================================================== --- tags/start/syn/bin/comp.dc (nonexistent) +++ tags/start/syn/bin/comp.dc (revision 3) @@ -0,0 +1,137 @@ +############################################################################### +# +# Actual Synthesis Script +# +# This script does the actual synthesis +# +# Author: Rudolf Usselmann +# rudi@asics.ws +# +# Revision: +# 3/7/01 RU Initial Sript +# +# +############################################################################### + +# ============================================== +# Setup Design Parameters +source ../bin/design_spec.dc + +# ============================================== +# Setup Libraries +source ../bin/lib_spec.dc + +# ============================================== +# Setup IO Files + +append log_file ../log/$active_design "_cmp.log" +append pre_comp_db_file ../out/$design_name "_pre.db" +append post_comp_db_file ../out/$design_name ".db" +append post_syn_verilog_file ../out/$design_name "_ps.v" +set junk_file /dev/null + +sh rm -f $log_file + +# ============================================== +# Setup Misc Variables + +set hdlin_enable_vpp true ;# Important - this enables 'ifdefs + +# ============================================== +# Read Design + +echo "+++++++++ Reading Design ..." >> $log_file +read_file $pre_comp_db_file >> $log_file + +# ============================================== +# Operating conditions + +echo "+++++++++ Setting up Operation Conditions ..." >> $log_file +current_design $design_name +set_operating_conditions WORST >> $log_file + +# Turn off automatic wire load selection, as this +# always (WHY ???) defaults to "zero_load" +#set auto_wire_load_selection false +#set_wire_load_mode enclosed >> $log_file +#set_wire_load_mode top >> $log_file +#set_wire_load_model -name suggested_40K >> $log_file + +# ============================================== +# Setup Clocks and Resets + +echo "+++++++++ Setting up Clocks ..." >> $log_file + +set_drive 0 [find port {*clk_i}] + +# !!! WISHBONE Clock !!! +set clock_period 1.0 +create_clock -period $clock_period clk_i +set_clock_skew -uncertainty 0.1 clk_i +set_clock_transition 0.1 clk_i +set_dont_touch_network clk_i + + +# !!! Reset !!! +set_drive 0 [find port {rst*}] +set_dont_touch_network [find port {rst*}] + +# ============================================== +# Setup IOs + +echo "+++++++++ Setting up IOs ..." >> $log_file + +# Need to spell out external IOs + +set_driving_cell -cell NAND2D2 -pin Z [all_inputs] >> $junk_file +set_load 0.2 [all_outputs] + +set_input_delay -max 0 -clock clk_i [all_inputs] +set_output_delay -max 0 -clock clk_i [all_outputs] + +# ============================================== +# Setup Area Constrains +set_max_area 0.0 +set compile_sequential_area_recovery true + +hdlin_infer_mux = all + +# ============================================== +# Force Ultra +set_ultra_optimization -f + +# ============================================== +# Compile Design + +echo "+++++++++ Starting Compile ..." >> $log_file +#compile -map_effort low -area_effort low >> $log_file +compile -map_effort high -area_effort high -boundary_optimization -auto_ungroup >> $log_file + +# ============================================== +# Write Out the optimized design + +echo "+++++++++ Saving Optimized Design ..." >> $log_file +write_file -hierarchy -format verilog -output $post_syn_verilog_file +write_file -hierarchy -format db -output $post_comp_db_file + +# ============================================== +# Create Some Basic Reports + +echo "+++++++++ Reporting Final Results ..." >> $log_file +report_timing -nworst 10 >> $log_file +report_timing -from m0_data_i[0] -to s0_data_o[0] >> $log_file +report_timing -from m0_data_o[0] -to s0_data_i[0] >> $log_file +report_timing -from m0_addr_i[0] -to s0_addr_o[0] >> $log_file +report_timing -from m0_ack_o -to s0_ack_i >> $log_file +report_timing -from m0_cyc_i -to s0_cyc_o >> $log_file +report_timing -from m0_stb_i -to s0_stb_o >> $log_file + +report_timing -from m0_data_i[0] -to s15_data_o[0] >> $log_file +report_timing -from m0_data_o[0] -to s15_data_i[0] >> $log_file +report_timing -from m0_addr_i[0] -to s15_addr_o[0] >> $log_file +report_timing -from m0_ack_o -to s15_ack_i >> $log_file +report_timing -from m0_cyc_i -to s15_cyc_o >> $log_file +report_timing -from m0_stb_i -to s15_stb_o >> $log_file + +report_area >> $log_file + Index: tags/start/syn/bin/lib_spec.dc =================================================================== --- tags/start/syn/bin/lib_spec.dc (nonexistent) +++ tags/start/syn/bin/lib_spec.dc (revision 3) @@ -0,0 +1,36 @@ +############################################################################### +# +# Library Specification +# +# Author: Rudolf Usselmann +# rudi@asics.ws +# +# Revision: +# 3/7/01 RU Initial Sript +# +# +############################################################################### + +# ============================================== +# Setup Libraries + +set search_path [list $search_path . \ + /tools/dc_libraries/virtual_silicon/UMCL18U250D2_2.2/design_compiler/ \ + $hdl_src_dir] + +set snps [getenv "SYNOPSYS"] + +set synthetic_library "" +append synthetic_library $snps "/libraries/syn/dw01.sldb " +append synthetic_library $snps "/libraries/syn/dw02.sldb " +append synthetic_library $snps "/libraries/syn/dw03.sldb " +append synthetic_library $snps "/libraries/syn/dw04.sldb " +append synthetic_library $snps "/libraries/syn/dw05.sldb " +append synthetic_library $snps "/libraries/syn/dw06.sldb " +append synthetic_library $snps "/libraries/syn/dw07.sldb " + +set target_library { umcl18u250t2_typ.db } +set link_library "" +append link_library $target_library " " $synthetic_library +set symbol_library { umcl18u250t2.sdb } + Index: tags/start/syn/bin/.read.dc.swp =================================================================== --- tags/start/syn/bin/.read.dc.swp (nonexistent) +++ tags/start/syn/bin/.read.dc.swp (revision 3) @@ -0,0 +1,21 @@ +b0VIM 6.0akt=;K;erudicpu0~rudi/bender_cores/wb_conmax/syn/bin/read.dc3210#"! UtpBadBTR7qW:9 + + + + + +f +0 +/ + + + \ N M   { [ . + + + + + +: +( +' + l k : , write_file -hierarchy -format db -output $pre_comp_db_fileecho "+++++++++ Saving Design ..." >> $log_file# Save Design# ==============================================check_design >> $log_fileecho "+++++++++ Checking Design ..." >> $log_fileuniquify >> $log_fileecho "+++++++++ Uniquifying Design ..." >> $log_filelink >> $log_fileecho "+++++++++ Linking Design ..." >> $log_filecurrent_design $active_design } elaborate $module >> $log_file analyze -f verilog $module_file_name >> $log_file append module_file_name $module ".v" set module_file_name "" echo +++++++++ Reading: $module echo "+++++++++ Reading: $module" >> $log_fileforeach module $design_files {echo "+++++++++ Analyzing all design files ..." >> $log_file# Read Design# ==============================================set hdlin_enable_vpp true ;# Important - this enables 'ifdefs# Setup Misc Variables# ==============================================sh rm -f $log_fileappend pre_comp_db_file ../out/$design_name "_pre.db"append log_file ../log/$active_design "_pre.log"# Setup IO Files# ==============================================source ../bin/lib_spec.dc# Setup Libraries# ==============================================source ../bin/design_spec.dc# Setup Design Parameters# ==============================================################################################################################## 3/7/01 RU Initial Sript# Revision:## rudi@asics.ws# Author: Rudolf Usselmann## This script only reads in the design and saves it in a DB file## Pre Synthesis Script################################################################################ \ No newline at end of file Index: tags/start/syn/bin/design_spec.dc =================================================================== --- tags/start/syn/bin/design_spec.dc (nonexistent) +++ tags/start/syn/bin/design_spec.dc (revision 3) @@ -0,0 +1,27 @@ +############################################################################### +# +# Design Specification +# +# Author: Rudolf Usselmann +# rudi@asics.ws +# +# Revision: +# 17/10/01 RU Initial Sript +# +# +############################################################################### + +# ============================================== +# Setup Design Parameters + +set design_files {wb_conmax_pri_dec wb_conmax_pri_enc wb_conmax_arb wb_conmax_msel wb_conmax_slave_if wb_conmax_master_if wb_conmax_rf wb_conmax_top} + + +set design_name wb_conmax_top +set active_design wb_conmax_top + +# Next Statement defines all clocks and resets in the design +set special_net {rst_i clk_i} + +set hdl_src_dir ../../rtl/verilog/ + Index: tags/start/txt.pl =================================================================== --- tags/start/txt.pl (nonexistent) +++ tags/start/txt.pl (revision 3) @@ -0,0 +1,41 @@ +#!/bin/perl + + +for($n=8;$n<8;$n++) { + +printf("wb_mast m%0d( .clk( clk ),\n", $n ); +printf(" .rst( ~rst ),\n", $n ); +printf(" .adr( m%0d_addr_i ),\n", $n ); +printf(" .din( m%0d_data_o ),\n", $n ); +printf(" .dout( m%0d_data_i ),\n", $n ); +printf(" .cyc( m%0d_cyc_i ),\n", $n ); +printf(" .stb( m%0d_stb_i ),\n", $n ); +printf(" .sel( m%0d_sel_i ),\n", $n ); +printf(" .we( m%0d_we_i ),\n", $n ); +printf(" .ack( m%0d_ack_o ),\n", $n ); +printf(" .err( m%0d_err_o ),\n", $n ); +printf(" .rty( m%0d_rty_o )\n", $n ); +printf(" );\n\n", $n ); + + } + + + +for($n=0;$n<16;$n++) { + +printf("wb_slv s%0d( .clk( clk ),\n", $n ); +printf(" .rst( ~rst ),\n", $n ); +printf(" .adr( s%0d_addr_o ),\n", $n ); +printf(" .din( s%0d_data_o ),\n", $n ); +printf(" .dout( s%0d_data_i ),\n", $n ); +printf(" .cyc( s%0d_cyc_o ),\n", $n ); +printf(" .stb( s%0d_stb_o ),\n", $n ); +printf(" .sel( s%0d_sel_o ),\n", $n ); +printf(" .we( s%0d_we_o ),\n", $n ); +printf(" .ack( s%0d_ack_i ),\n", $n ); +printf(" .err( s%0d_err_i ),\n", $n ); +printf(" .rty( s%0d_rty_i )\n", $n ); +printf(" );\n\n", $n ); + + } +
tags/start/txt.pl Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.