OpenCores
URL https://opencores.org/ocsvn/miniuart2/miniuart2/trunk

Subversion Repositories miniuart2

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 21 to Rev 22
    Reverse comparison

Rev 21 → Rev 22

/branches/avendor/impl/Xilinx_xc2s15/_map.rsp
0,0 → 1,6
-p xc2s15-cs144-6
-cm area
-k 4
-c 100
-tx off
uart.ngd
/branches/avendor/impl/Xilinx_xc2s15/_par.rsp
0,0 → 1,8
-ol 2
-xe 0
-t 1
-c 0
par_temp.ncd
-w
uart.ncd
uart.pcf
/branches/avendor/impl/Xilinx_xc2s15/automake.log
0,0 → 1,19
ISE Auto-Make Log File
-----------------------
 
Starting: 'jhdparse @utils.jp'
 
 
JHDPARSE - VHDL/Verilog Parser.
ISE 4.1i Copyright(c) 1999-2001 Xilinx, Inc. All rights reserved.
 
Scanning j:/rtl/vhdl/utils.vhd
Scanning j:/rtl/vhdl/utils.vhd
j:/rtl/vhdl/utils.vhd(47) library IEEE,STD;
^
Warning 0008: Unable to open library std.
Writing utils.jhd.
 
JHDPARSE complete - 0 errors, 1 warning.
 
Done: completed successfully.
/branches/avendor/impl/Xilinx_xc2s15/uart.syr
0,0 → 1,372
Release 4.2i - xst E.35
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
--> Parameter TMPDIR set to .
CPU : 0.00 / 0.45 s | Elapsed : 0.00 / 0.00 s
--> Parameter overwrite set to YES
CPU : 0.00 / 0.45 s | Elapsed : 0.00 / 0.00 s
--> Parameter xsthdpdir set to ./xst
CPU : 0.00 / 0.45 s | Elapsed : 0.00 / 0.00 s
--> =========================================================================
---- Source Parameters
Input Format : VHDL
Input File Name : uart.prj
 
---- Target Parameters
Target Device : xc2s15-cs144-6
Output File Name : uart
Output Format : NGC
Target Technology : spartan2
 
---- Source Options
Entity Name : uart
Automatic FSM Extraction : YES
FSM Encoding Algorithm : Auto
FSM Flip-Flop Type : D
Mux Extraction : YES
Resource Sharing : YES
Complex Clock Enable Extraction : YES
ROM Extraction : Yes
RAM Extraction : Yes
RAM Style : Auto
Mux Style : Auto
Decoder Extraction : YES
Priority Encoder Extraction : YES
Shift Register Extraction : YES
Logical Shifter Extraction : YES
XOR Collapsing : YES
Automatic Register Balancing : No
 
---- Target Options
Add IO Buffers : YES
Equivalent register Removal : YES
Add Generic Clock Buffer(BUFG) : 4
Global Maximum Fanout : 100
Register Duplication : YES
Move First FlipFlop Stage : YES
Move Last FlipFlop Stage : YES
Slice Packing : YES
Pack IO Registers into IOBs : auto
Speed Grade : 6
 
---- General Options
Optimization Criterion : Speed
Optimization Effort : 1
Check Attribute Syntax : YES
Keep Hierarchy : No
Global Optimization : AllClockNets
Write Timing Constraints : No
 
=========================================================================
 
Compiling vhdl file J:/impl/../rtl/vhdl/utils.vhd in Library work.
Entity <synchroniser> (Architecture <behaviour>) compiled.
Entity <counter> (Architecture <behaviour>) compiled.
Compiling vhdl file J:/impl/../rtl/vhdl/Txunit.vhd in Library work.
Entity <txunit> (Architecture <behaviour>) compiled.
Compiling vhdl file J:/impl/../rtl/vhdl/Rxunit.vhd in Library work.
Entity <rxunit> (Architecture <behaviour>) compiled.
Compiling vhdl file J:/impl/../rtl/vhdl/miniuart.vhd in Library work.
Entity <uart> (Architecture <behaviour>) compiled.
 
Analyzing Entity <uart> (Architecture <behaviour>).
Entity <uart> analyzed. Unit <uart> generated.
 
Analyzing generic Entity <counter> (Architecture <behaviour>).
count = 130
Entity <counter> analyzed. Unit <counter> generated.
 
Analyzing generic Entity <counter> (Architecture <behaviour>).
count = 4
Entity <counter> analyzed. Unit <counter0> generated.
 
Analyzing Entity <txunit> (Architecture <behaviour>).
Entity <txunit> analyzed. Unit <txunit> generated.
 
Analyzing Entity <rxunit> (Architecture <behaviour>).
Entity <rxunit> analyzed. Unit <rxunit> generated.
 
Analyzing Entity <synchroniser> (Architecture <behaviour>).
Entity <synchroniser> analyzed. Unit <synchroniser> generated.
 
 
Synthesizing Unit <synchroniser>.
Related source file is J:/impl/../rtl/vhdl/utils.vhd.
Found 1-bit register for signal <c1a>.
Found 1-bit register for signal <c1s>.
Found 1-bit register for signal <r>.
Summary:
inferred 3 D-type flip-flop(s).
Unit <synchroniser> synthesized.
 
 
Synthesizing Unit <rxunit>.
Related source file is J:/impl/../rtl/vhdl/Rxunit.vhd.
Found 1-bit register for signal <rxav>.
Found 8-bit register for signal <datao>.
Found 2-bit adder for signal <$n0002> created at line 91.
Found 4-bit adder for signal <$n0018> created at line 85.
Found 4-bit comparator greatequal for signal <$n0038> created at line 81.
Found 4-bit register for signal <bitpos>.
Found 8-bit register for signal <rreg>.
Found 1-bit register for signal <rregl>.
Found 2-bit register for signal <samplecnt>.
Summary:
inferred 24 D-type flip-flop(s).
inferred 2 Adder/Subtracter(s).
inferred 1 Comparator(s).
Unit <rxunit> synthesized.
 
 
Synthesizing Unit <txunit>.
Related source file is J:/impl/../rtl/vhdl/Txunit.vhd.
Found 1-bit register for signal <txd>.
Found 4-bit adder for signal <$n0012> created at line 92.
Found 4-bit register for signal <bitpos>.
Found 8-bit register for signal <tbuff>.
Found 1-bit register for signal <tbufl>.
Found 8-bit register for signal <treg>.
Summary:
inferred 22 D-type flip-flop(s).
inferred 1 Adder/Subtracter(s).
Unit <txunit> synthesized.
 
 
Synthesizing Unit <counter0>.
Related source file is J:/impl/../rtl/vhdl/utils.vhd.
Found 1-bit register for signal <o>.
Found 2-bit down counter for signal <cnt>.
WARNING:Xst:647 - Input <reset> is never used.
Summary:
inferred 1 Counter(s).
inferred 1 D-type flip-flop(s).
Unit <counter0> synthesized.
 
 
Synthesizing Unit <counter>.
Related source file is J:/impl/../rtl/vhdl/utils.vhd.
Found 1-bit register for signal <o>.
Found 8-bit down counter for signal <cnt>.
WARNING:Xst:647 - Input <reset> is never used.
WARNING:Xst:647 - Input <ce> is never used.
Summary:
inferred 1 Counter(s).
inferred 1 D-type flip-flop(s).
Unit <counter> synthesized.
 
 
Synthesizing Unit <uart>.
Related source file is J:/impl/../rtl/vhdl/miniuart.vhd.
WARNING:Xst:646 - Signal <sig0> is assigned but never used.
WARNING:Xst:646 - Signal <sig1> is assigned but never used.
Found 1-bit register for signal <loada>.
Found 1-bit register for signal <reada>.
Found 8-bit register for signal <txdata>.
Summary:
inferred 10 D-type flip-flop(s).
Unit <uart> synthesized.
 
=========================================================================
HDL Synthesis Report
 
Macro Statistics
# Registers : 26
4-bit register : 2
2-bit register : 1
8-bit register : 4
1-bit register : 19
# Counters : 2
2-bit down counter : 1
8-bit down counter : 1
# Adders/Subtractors : 3
2-bit adder : 1
4-bit adder : 2
# Comparators : 1
4-bit comparator greatequal : 1
 
=========================================================================
 
 
Starting low level synthesis...
Optimizing unit <counter> ...
 
Optimizing unit <rxunit> ...
 
Optimizing unit <txunit> ...
 
Optimizing unit <uart> ...
 
Building and optimizing final netlist ...
 
FlipFlop uart_rxunit_rxav has been replicated 1 time(s) to handle iob=true attribute.
=========================================================================
Final Results
Top Level Output File Name : uart
Output Format : NGC
Optimization Criterion : Speed
Target Technology : spartan2
Keep Hierarchy : No
Macro Generator : macro+
 
Macro Statistics
# Registers : 35
4-bit register : 2
8-bit register : 4
2-bit register : 2
1-bit register : 27
# Adders/Subtractors : 3
4-bit adder : 2
8-bit subtractor : 1
 
Design Statistics
# IOs : 28
 
Cell Usage :
# BELS : 153
# GND : 1
# LUT1 : 13
# LUT1_D : 2
# LUT1_L : 3
# LUT2 : 21
# LUT3 : 24
# LUT3_L : 2
# LUT4 : 51
# LUT4_D : 2
# LUT4_L : 2
# MUXCY : 13
# MUXF5 : 4
# VCC : 1
# XORCY : 14
# FlipFlops/Latches : 72
# FDC : 4
# FDCE : 10
# FDE : 40
# FDPE : 1
# FDR : 11
# FDRE : 2
# FDS : 2
# FDSE : 2
# Clock Buffers : 2
# BUFGP : 2
# IO Buffers : 26
# IBUF : 14
# OBUF : 12
=========================================================================
 
 
=========================================================================
TIMING REPORT
 
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
GENERATED AFTER PLACE-and-ROUTE.
 
Clock Information:
------------------
-----------------------------------+------------------------+-------+
Clock Signal | Clock buffer(FF name) | Load |
-----------------------------------+------------------------+-------+
uart_rxunit_rregl:Q | NONE | 3 |
br_clk_i | BUFGP | 59 |
loada:Q | NONE | 1 |
wb_clk_i | BUFGP | 10 |
-----------------------------------+------------------------+-------+
 
Timing Summary:
---------------
Speed Grade: -6
 
Minimum period: 9.318ns (Maximum Frequency: 107.319MHz)
Minimum input arrival time before clock: 8.430ns
Maximum output required time after clock: 10.658ns
Maximum combinational path delay: 9.098ns
 
Timing Detail:
--------------
All values displayed in nanoseconds (ns)
 
-------------------------------------------------------------------------
Timing constraint: Default period analysis for Clock 'br_clk_i'
Delay: 9.318ns (Levels of Logic = 6)
Source: uart_rxunit_bitpos_0
Destination: uart_rxunit_bitpos_2
Source Clock: br_clk_i rising
Destination Clock: br_clk_i rising
 
Data Path: uart_rxunit_bitpos_0 to uart_rxunit_bitpos_2
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDCE:C->Q 22 1.085 2.970 uart_rxunit_bitpos_0 (uart_rxunit_bitpos_0)
LUT1_D:I0->LO 1 0.549 0.000 uart_rxunit_Madd__n0018_inst_lut2_0 (N3009)
MUXCY:S->O 1 0.659 0.000 uart_rxunit_Madd__n0018_inst_cy_0 (uart_rxunit_Madd__n0018_inst_cy_0)
MUXCY:CI->O 1 0.042 0.000 uart_rxunit_Madd__n0018_inst_cy_1 (uart_rxunit_Madd__n0018_inst_cy_1)
XORCY:CI->O 1 0.420 1.035 uart_rxunit_Madd__n0018_inst_sum_2 (uart_rxunit_N181)
LUT4:I3->O 1 0.549 1.035 uart_rxunit_I_10_LUT_53 (uart_rxunit_N183)
LUT4_L:I0->LO 1 0.549 0.000 uart_rxunit_I__n0005_2 (uart_rxunit_N201)
FDCE:D 0.425 uart_rxunit_bitpos_2
----------------------------------------
Total 9.318ns (4.278ns logic, 5.040ns route)
(45.9% logic, 54.1% route)
 
-------------------------------------------------------------------------
Timing constraint: Default OFFSET IN BEFORE for Clock 'br_clk_i'
Offset: 8.430ns (Levels of Logic = 3)
Source: wb_rst_i
Destination: uart_txunit_treg_5
Destination Clock: br_clk_i rising
 
Data Path: wb_rst_i to uart_txunit_treg_5
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 19 0.776 2.790 wb_rst_i_IBUF (wb_rst_i_IBUF)
LUT4:I3->O 1 0.549 1.035 uart_txunit_I_1_LUT_11 (N2973)
LUT4:I3->O 8 0.549 1.845 uart_txunit_I__n0009 (uart_txunit_N83)
FDE:CE 0.886 uart_txunit_treg_5
----------------------------------------
Total 8.430ns (2.760ns logic, 5.670ns route)
(32.7% logic, 67.3% route)
 
-------------------------------------------------------------------------
Timing constraint: Default OFFSET OUT AFTER for Clock 'br_clk_i'
Offset: 10.658ns (Levels of Logic = 3)
Source: uart_txunit_tbufl
Destination: wb_dat_o_0
Source Clock: br_clk_i rising
 
Data Path: uart_txunit_tbufl to wb_dat_o_0
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDCE:C->Q 5 1.085 1.566 uart_txunit_tbufl (uart_txunit_tbufl)
LUT2:I1->O 2 0.549 1.206 uart_txunit_I_busy (N64)
LUT4:I2->O 1 0.549 1.035 I_wb_dat_o_0 (wb_dat_o_0_OBUF)
OBUF:I->O 4.668 wb_dat_o_0_OBUF (wb_dat_o_0)
----------------------------------------
Total 10.658ns (6.851ns logic, 3.807ns route)
(64.3% logic, 35.7% route)
 
-------------------------------------------------------------------------
Timing constraint: Default path analysis
Delay: 9.098ns (Levels of Logic = 3)
Source: wb_adr_i_1
Destination: wb_dat_o_0
 
Data Path: wb_adr_i_1 to wb_dat_o_0
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 0.776 2.070 wb_adr_i_1_IBUF (wb_adr_i_1_IBUF)
LUT4:I0->O 1 0.549 1.035 I_wb_dat_o_0 (wb_dat_o_0_OBUF)
OBUF:I->O 4.668 wb_dat_o_0_OBUF (wb_dat_o_0)
----------------------------------------
Total 9.098ns (5.993ns logic, 3.105ns route)
(65.9% logic, 34.1% route)
 
=========================================================================
CPU : 9.22 / 9.67 s | Elapsed : 10.00 / 10.00 s
-->
/branches/avendor/impl/Xilinx_xc2s15/uart.xst
0,0 → 1,40
set -tmpdir .
set -overwrite YES
set -xsthdpdir ./xst
run
-ifmt VHDL
-ent uart
-p xc2s15-cs144-6
-ifn uart.prj
-opt_mode Speed
-opt_level 1
-check_attribute_syntax YES
-keep_hierarchy No
-glob_opt AllClockNets
-write_timing_constraints No
-fsm_extract YES -fsm_encoding Auto
-fsm_fftype D
-mux_extract YES
-resource_sharing YES
-complex_clken YES
-rom_extract Yes
-ram_extract Yes
-ram_style Auto
-mux_style Auto
-decoder_extract YES
-priority_extract YES
-shreg_extract YES
-shift_extract YES
-xor_collapse YES
-iobuf YES
-equivalent_register_removal YES
-bufg 4
-max_fanout 100
-register_duplication YES
-register_balancing No
-move_first_stage YES
-move_last_stage YES
-slice_packing YES
-iob auto
-ofn uart
-ofmt NGC
/branches/avendor/impl/Xilinx_xc2s15/_prepar.rsp --- branches/avendor/impl/Xilinx_xc2s15/miniuart.jhd (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/miniuart.jhd (revision 22) @@ -0,0 +1,4 @@ +MODULE uart + SUBMODULE counter + SUBMODULE rxunit + SUBMODULE txunit
/branches/avendor/impl/Xilinx_xc2s15/__projnav.log
0,0 → 1,617
ISE Auto-Make Log File
-----------------------
 
Updating: Analyze Post-Place & Route Static Timing (Timing Analyzer)
 
Starting: 'exewrap -tapkeep -mode pipe -tcl -command e:/ise/data/projnav/_filesAllClean.tcl _XSTClean.rsp 0'
 
 
Creating TCL Process
Cleaning Up Project
Finished cleaning up project
Done: completed successfully.
 
Starting: 'exewrap -mode pipe -tapkeep -command e:/ise/bin/nt/xst.exe -ifn uart.xst -ofn uart.syr'
 
 
Starting: 'e:/ise/bin/nt/xst.exe -ifn uart.xst -ofn uart.syr '
 
 
Release 4.2i - xst E.35
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
--> Parameter TMPDIR set to .
CPU : 0.00 / 0.45 s | Elapsed : 0.00 / 0.00 s
--> Parameter overwrite set to YES
CPU : 0.00 / 0.45 s | Elapsed : 0.00 / 0.00 s
--> Parameter xsthdpdir set to ./xst
CPU : 0.00 / 0.45 s | Elapsed : 0.00 / 0.00 s
--> =========================================================================
---- Source Parameters
Input Format : VHDL
Input File Name : uart.prj
 
---- Target Parameters
Target Device : xc2s15-cs144-6
Output File Name : uart
Output Format : NGC
Target Technology : spartan2
 
---- Source Options
Entity Name : uart
Automatic FSM Extraction : YES
FSM Encoding Algorithm : Auto
FSM Flip-Flop Type : D
Mux Extraction : YES
Resource Sharing : YES
Complex Clock Enable Extraction : YES
ROM Extraction : Yes
RAM Extraction : Yes
RAM Style : Auto
Mux Style : Auto
Decoder Extraction : YES
Priority Encoder Extraction : YES
Shift Register Extraction : YES
Logical Shifter Extraction : YES
XOR Collapsing : YES
Automatic Register Balancing : No
 
---- Target Options
Add IO Buffers : YES
Equivalent register Removal : YES
Add Generic Clock Buffer(BUFG) : 4
Global Maximum Fanout : 100
Register Duplication : YES
Move First FlipFlop Stage : YES
Move Last FlipFlop Stage : YES
Slice Packing : YES
Pack IO Registers into IOBs : auto
Speed Grade : 6
 
---- General Options
Optimization Criterion : Speed
Optimization Effort : 1
Check Attribute Syntax : YES
Keep Hierarchy : No
Global Optimization : AllClockNets
Write Timing Constraints : No
 
=========================================================================
 
Compiling vhdl file J:/impl/../rtl/vhdl/utils.vhd in Library work.
Entity <synchroniser> (Architecture <behaviour>) compiled.
Entity <counter> (Architecture <behaviour>) compiled.
Compiling vhdl file J:/impl/../rtl/vhdl/Txunit.vhd in Library work.
Entity <txunit> (Architecture <behaviour>) compiled.
Compiling vhdl file J:/impl/../rtl/vhdl/Rxunit.vhd in Library work.
Entity <rxunit> (Architecture <behaviour>) compiled.
Compiling vhdl file J:/impl/../rtl/vhdl/miniuart.vhd in Library work.
Entity <uart> (Architecture <behaviour>) compiled.
 
Analyzing Entity <uart> (Architecture <behaviour>).
Entity <uart> analyzed. Unit <uart> generated.
 
Analyzing generic Entity <counter> (Architecture <behaviour>).
count = 130
Entity <counter> analyzed. Unit <counter> generated.
 
Analyzing generic Entity <counter> (Architecture <behaviour>).
count = 4
Entity <counter> analyzed. Unit <counter0> generated.
 
Analyzing Entity <txunit> (Architecture <behaviour>).
Entity <txunit> analyzed. Unit <txunit> generated.
 
Analyzing Entity <rxunit> (Architecture <behaviour>).
Entity <rxunit> analyzed. Unit <rxunit> generated.
 
Analyzing Entity <synchroniser> (Architecture <behaviour>).
Entity <synchroniser> analyzed. Unit <synchroniser> generated.
 
 
Synthesizing Unit <synchroniser>.
Related source file is J:/impl/../rtl/vhdl/utils.vhd.
Found 1-bit register for signal <c1a>.
Found 1-bit register for signal <c1s>.
Found 1-bit register for signal <r>.
Summary:
inferred 3 D-type flip-flop(s).
Unit <synchroniser> synthesized.
 
 
Synthesizing Unit <rxunit>.
Related source file is J:/impl/../rtl/vhdl/Rxunit.vhd.
Found 1-bit register for signal <rxav>.
Found 8-bit register for signal <datao>.
Found 2-bit adder for signal <$n0002> created at line 91.
Found 4-bit adder for signal <$n0018> created at line 85.
Found 4-bit comparator greatequal for signal <$n0038> created at line 81.
Found 4-bit register for signal <bitpos>.
Found 8-bit register for signal <rreg>.
Found 1-bit register for signal <rregl>.
Found 2-bit register for signal <samplecnt>.
Summary:
inferred 24 D-type flip-flop(s).
inferred 2 Adder/Subtracter(s).
inferred 1 Comparator(s).
Unit <rxunit> synthesized.
 
 
Synthesizing Unit <txunit>.
Related source file is J:/impl/../rtl/vhdl/Txunit.vhd.
Found 1-bit register for signal <txd>.
Found 4-bit adder for signal <$n0012> created at line 92.
Found 4-bit register for signal <bitpos>.
Found 8-bit register for signal <tbuff>.
Found 1-bit register for signal <tbufl>.
Found 8-bit register for signal <treg>.
Summary:
inferred 22 D-type flip-flop(s).
inferred 1 Adder/Subtracter(s).
Unit <txunit> synthesized.
 
 
Synthesizing Unit <counter0>.
Related source file is J:/impl/../rtl/vhdl/utils.vhd.
Found 1-bit register for signal <o>.
Found 2-bit down counter for signal <cnt>.
WARNING:Xst:647 - Input <reset> is never used.
Summary:
inferred 1 Counter(s).
inferred 1 D-type flip-flop(s).
Unit <counter0> synthesized.
 
 
Synthesizing Unit <counter>.
Related source file is J:/impl/../rtl/vhdl/utils.vhd.
Found 1-bit register for signal <o>.
Found 8-bit down counter for signal <cnt>.
WARNING:Xst:647 - Input <reset> is never used.
WARNING:Xst:647 - Input <ce> is never used.
Summary:
inferred 1 Counter(s).
inferred 1 D-type flip-flop(s).
Unit <counter> synthesized.
 
 
Synthesizing Unit <uart>.
Related source file is J:/impl/../rtl/vhdl/miniuart.vhd.
WARNING:Xst:646 - Signal <sig0> is assigned but never used.
WARNING:Xst:646 - Signal <sig1> is assigned but never used.
Found 1-bit register for signal <loada>.
Found 1-bit register for signal <reada>.
Found 8-bit register for signal <txdata>.
Summary:
inferred 10 D-type flip-flop(s).
Unit <uart> synthesized.
 
=========================================================================
HDL Synthesis Report
 
Macro Statistics
# Registers : 26
4-bit register : 2
2-bit register : 1
8-bit register : 4
1-bit register : 19
# Counters : 2
2-bit down counter : 1
8-bit down counter : 1
# Adders/Subtractors : 3
2-bit adder : 1
4-bit adder : 2
# Comparators : 1
4-bit comparator greatequal : 1
 
=========================================================================
 
 
Starting low level synthesis...
Optimizing unit <counter> ...
 
Optimizing unit <rxunit> ...
 
Optimizing unit <txunit> ...
 
Optimizing unit <uart> ...
 
Building and optimizing final netlist ...
 
FlipFlop uart_rxunit_rxav has been replicated 1 time(s) to handle iob=true attribute.
=========================================================================
Final Results
Top Level Output File Name : uart
Output Format : NGC
Optimization Criterion : Speed
Target Technology : spartan2
Keep Hierarchy : No
Macro Generator : macro+
 
Macro Statistics
# Registers : 35
4-bit register : 2
8-bit register : 4
2-bit register : 2
1-bit register : 27
# Adders/Subtractors : 3
4-bit adder : 2
8-bit subtractor : 1
 
Design Statistics
# IOs : 28
 
Cell Usage :
# BELS : 153
# GND : 1
# LUT1 : 13
# LUT1_D : 2
# LUT1_L : 3
# LUT2 : 21
# LUT3 : 24
# LUT3_L : 2
# LUT4 : 51
# LUT4_D : 2
# LUT4_L : 2
# MUXCY : 13
# MUXF5 : 4
# VCC : 1
# XORCY : 14
# FlipFlops/Latches : 72
# FDC : 4
# FDCE : 10
# FDE : 40
# FDPE : 1
# FDR : 11
# FDRE : 2
# FDS : 2
# FDSE : 2
# Clock Buffers : 2
# BUFGP : 2
# IO Buffers : 26
# IBUF : 14
# OBUF : 12
=========================================================================
 
 
=========================================================================
TIMING REPORT
 
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
GENERATED AFTER PLACE-and-ROUTE.
 
Clock Information:
------------------
-----------------------------------+------------------------+-------+
Clock Signal | Clock buffer(FF name) | Load |
-----------------------------------+------------------------+-------+
uart_rxunit_rregl:Q | NONE | 3 |
br_clk_i | BUFGP | 59 |
loada:Q | NONE | 1 |
wb_clk_i | BUFGP | 10 |
-----------------------------------+------------------------+-------+
 
Timing Summary:
---------------
Speed Grade: -6
 
Minimum period: 9.318ns (Maximum Frequency: 107.319MHz)
Minimum input arrival time before clock: 8.430ns
Maximum output required time after clock: 10.658ns
Maximum combinational path delay: 9.098ns
 
Timing Detail:
--------------
All values displayed in nanoseconds (ns)
 
-------------------------------------------------------------------------
Timing constraint: Default period analysis for Clock 'br_clk_i'
Delay: 9.318ns (Levels of Logic = 6)
Source: uart_rxunit_bitpos_0
Destination: uart_rxunit_bitpos_2
Source Clock: br_clk_i rising
Destination Clock: br_clk_i rising
 
Data Path: uart_rxunit_bitpos_0 to uart_rxunit_bitpos_2
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDCE:C->Q 22 1.085 2.970 uart_rxunit_bitpos_0 (uart_rxunit_bitpos_0)
LUT1_D:I0->LO 1 0.549 0.000 uart_rxunit_Madd__n0018_inst_lut2_0 (N3009)
MUXCY:S->O 1 0.659 0.000 uart_rxunit_Madd__n0018_inst_cy_0 (uart_rxunit_Madd__n0018_inst_cy_0)
MUXCY:CI->O 1 0.042 0.000 uart_rxunit_Madd__n0018_inst_cy_1 (uart_rxunit_Madd__n0018_inst_cy_1)
XORCY:CI->O 1 0.420 1.035 uart_rxunit_Madd__n0018_inst_sum_2 (uart_rxunit_N181)
LUT4:I3->O 1 0.549 1.035 uart_rxunit_I_10_LUT_53 (uart_rxunit_N183)
LUT4_L:I0->LO 1 0.549 0.000 uart_rxunit_I__n0005_2 (uart_rxunit_N201)
FDCE:D 0.425 uart_rxunit_bitpos_2
----------------------------------------
Total 9.318ns (4.278ns logic, 5.040ns route)
(45.9% logic, 54.1% route)
 
-------------------------------------------------------------------------
Timing constraint: Default OFFSET IN BEFORE for Clock 'br_clk_i'
Offset: 8.430ns (Levels of Logic = 3)
Source: wb_rst_i
Destination: uart_txunit_treg_5
Destination Clock: br_clk_i rising
 
Data Path: wb_rst_i to uart_txunit_treg_5
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 19 0.776 2.790 wb_rst_i_IBUF (wb_rst_i_IBUF)
LUT4:I3->O 1 0.549 1.035 uart_txunit_I_1_LUT_11 (N2973)
LUT4:I3->O 8 0.549 1.845 uart_txunit_I__n0009 (uart_txunit_N83)
FDE:CE 0.886 uart_txunit_treg_5
----------------------------------------
Total 8.430ns (2.760ns logic, 5.670ns route)
(32.7% logic, 67.3% route)
 
-------------------------------------------------------------------------
Timing constraint: Default OFFSET OUT AFTER for Clock 'br_clk_i'
Offset: 10.658ns (Levels of Logic = 3)
Source: uart_txunit_tbufl
Destination: wb_dat_o_0
Source Clock: br_clk_i rising
 
Data Path: uart_txunit_tbufl to wb_dat_o_0
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDCE:C->Q 5 1.085 1.566 uart_txunit_tbufl (uart_txunit_tbufl)
LUT2:I1->O 2 0.549 1.206 uart_txunit_I_busy (N64)
LUT4:I2->O 1 0.549 1.035 I_wb_dat_o_0 (wb_dat_o_0_OBUF)
OBUF:I->O 4.668 wb_dat_o_0_OBUF (wb_dat_o_0)
----------------------------------------
Total 10.658ns (6.851ns logic, 3.807ns route)
(64.3% logic, 35.7% route)
 
-------------------------------------------------------------------------
Timing constraint: Default path analysis
Delay: 9.098ns (Levels of Logic = 3)
Source: wb_adr_i_1
Destination: wb_dat_o_0
 
Data Path: wb_adr_i_1 to wb_dat_o_0
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 0.776 2.070 wb_adr_i_1_IBUF (wb_adr_i_1_IBUF)
LUT4:I0->O 1 0.549 1.035 I_wb_dat_o_0 (wb_dat_o_0_OBUF)
OBUF:I->O 4.668 wb_dat_o_0_OBUF (wb_dat_o_0)
----------------------------------------
Total 9.098ns (5.993ns logic, 3.105ns route)
(65.9% logic, 34.1% route)
 
=========================================================================
CPU : 9.22 / 9.67 s | Elapsed : 10.00 / 10.00 s
-->
EXEWRAP detected that program 'e:/ise/bin/nt/xst.exe' completed successfully.
 
Done: completed successfully.
 
Starting: 'exewrap @__ednTOngd_exewrap.rsp'
 
 
Starting: 'ngdbuild -f __ngdbuild.rsp '
 
 
Release 4.2i - ngdbuild E.35
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
 
Command Line: ngdbuild -dd j:/impl/_ngo -nt timestamp -p xc2s15-cs144-6 uart.ngc
uart.ngd
 
Reading NGO file "J:/impl/uart.ngc" ...
Reading component libraries for design expansion...
 
Checking timing specifications ...
Checking expanded design ...
 
NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
 
Writing NGD file "uart.ngd" ...
 
Writing NGDBUILD log file "uart.bld"...
 
NGDBUILD done.
EXEWRAP detected that program 'ngdbuild' completed successfully.
 
Done: completed successfully.
 
Starting: 'exewrap @_ngdTOnc1_exewrap.rsp'
 
 
Creating TCL Process
Starting: 'map -f _map.rsp'
 
 
Release 4.2i - Map E.35
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
Using target part "2s15cs144-6".
Removing unused or disabled logic...
Running cover...
Writing file uart.ngm...
Running directed packing...
Running delay-based packing...
Running related packing...
Writing design file "uart.ncd"...
 
Design Summary:
Number of errors: 0
Number of warnings: 0
Number of Slices: 83 out of 192 43%
Number of Slices containing
unrelated logic: 0 out of 83 0%
Number of Slice Flip Flops: 63 out of 384 16%
Total Number 4 input LUTs: 115 out of 384 29%
Number used as LUTs: 110
Number used as a route-thru: 5
Number of bonded IOBs: 26 out of 86 30%
IOB Flip Flops: 9
Number of GCLKs: 2 out of 4 50%
Number of GCLKIOBs: 2 out of 4 50%
Total equivalent gate count for design: 1,329
Additional JTAG gate count for IOBs: 1,344
 
Mapping completed.
See MAP report file "uart.mrp" for details.
Tcl e:/ise/data/projnav/_map.tcl detected that program 'map -f _map.rsp' completed successfully.
 
Done: completed successfully.
 
Starting: 'exewrap @_nc1TOncd_exewrap.rsp'
 
 
Creating TCL Process
Found _prepar.rsp
Starting: 'par -f _par.rsp'
 
 
Release 4.2i - Par E.35
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
 
 
WARNING:Par:69 - Option "-xe" overrides some effects of "-ol".
 
 
Constraints file: uart.pcf
 
Loading design for application par from file par_temp.ncd.
"uart" is an NCD, version 2.37, device xc2s15, package cs144, speed -6
Loading device for application par from file '2s15.nph' in environment e:/ise.
Device speed data version: PRELIMINARY 1.23 2001-12-19.
 
 
Resolving physical constraints.
Finished resolving physical constraints.
 
Device utilization summary:
 
Number of External GCLKIOBs 2 out of 4 50%
Number of External IOBs 26 out of 86 30%
Number of LOCed External IOBs 0 out of 26 0%
 
Number of SLICEs 83 out of 192 43%
 
Number of GCLKs 2 out of 4 50%
 
 
 
Overall effort level (-ol): 2 (set by user)
Placer effort level (-pl): 2 (set by user)
Placer cost table entry (-t): 1
Router effort level (-rl): 2 (set by user)
Extra effort level (-xe): 0 (set by user)
 
Starting initial Placement phase. REAL time: 0 secs
Finished initial Placement phase. REAL time: 0 secs
Starting the placer. REAL time: 0 secs
Placement pass 1 ....
Placer score = 7875
Placement pass 2 ...............
Placer score = 7375
Optimizing ...
Placer score = 6385
Placer score = 5890
Placer completed in real time: 0 secs
 
Dumping design to file uart.ncd.
 
Total REAL time to Placer completion: 0 secs
Total CPU time to Placer completion: 1 secs
 
0 connection(s) routed; 522 unrouted active, 4 unrouted PWR/GND.
Starting router resource preassignment
Completed router resource preassignment. REAL time: 0 secs
Starting iterative routing.
Routing active signals.
.....
End of iteration 1
526 successful; 0 unrouted; (0) REAL time: 0 secs
Constraints are met.
Total REAL time: 2 secs
Total CPU time: 1 secs
End of route. 526 routed (100.00%); 0 unrouted.
No errors found.
Completely routed.
 
This design was run without timing constraints. It is likely that much better
circuit performance can be obtained by trying either or both of the following:
 
- Enabling the Delay Based Cleanup router pass, if not already enabled
- Supplying timing constraints in the input design
 
 
Total REAL time to Router completion: 2 secs
Total CPU time to Router completion: 1 secs
 
Generating PAR statistics.
Dumping design to file uart.ncd.
 
 
All signals are completely routed.
 
Total REAL time to PAR completion: 4 secs
Total CPU time to PAR completion: 1 secs
 
Placement: Completed - No errors found.
Routing: Completed - No errors found.
 
PAR done.
Tcl e:/ise/data/projnav/_par.tcl detected that program 'par -f _par.rsp' completed successfully.
 
PAR completed successfully
Done: completed successfully.
 
Launching: 'exewrap -tcl -command __launchTA.tcl'
 
 
 
ISE Auto-Make Log File
-----------------------
 
Starting: 'jhdparse @Rxunit.jp'
 
 
JHDPARSE - VHDL/Verilog Parser.
ISE 4.1i Copyright(c) 1999-2001 Xilinx, Inc. All rights reserved.
 
Scanning j:/rtl/vhdl/Rxunit.vhd
Scanning j:/rtl/vhdl/Rxunit.vhd
Writing Rxunit.jhd.
 
JHDPARSE complete - 0 errors, 0 warnings.
 
Done: completed successfully.
 
Starting: 'jhdparse @Txunit.jp'
 
 
 
Starting: 'jhdparse @utils.jp'
 
 
JHDPARSE - VHDL/Verilog Parser.
ISE 4.1i Copyright(c) 1999-2001 Xilinx, Inc. All rights reserved.
 
Scanning j:/rtl/vhdl/utils.vhd
Scanning j:/rtl/vhdl/utils.vhd
j:/rtl/vhdl/utils.vhd(47) library IEEE,STD;
^
Warning 0008: Unable to open library std.
Writing utils.jhd.
 
JHDPARSE complete - 0 errors, 1 warning.
 
Done: completed successfully.
 
Starting: 'jhdparse @miniuart.jp'
 
 
 
/branches/avendor/impl/Xilinx_xc2s15/uart.dly
0,0 → 1,1079
Release 4.2i - Par E.35
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
 
Thu Jan 09 18:11:10 2003
 
File: uart.dly
 
The 20 Worst Net Delays are:
-------------------------------
| Max Delay (ns) | Netname |
-------------------------------
2.509 wb_rst_i_IBUF
2.150 N146
2.092 wb_ack_o_OBUF
2.046 uart_rxrate_O
1.984 wb_adr_i_1_IBUF
1.919 uart_rxunit_bitpos<0>
1.848 uart_txrate_o
1.843 uart_rxunit_samplecnt<1>
1.841 uart_rxunit_samplecnt<0>
1.798 uart_rxunit_bitpos<1>
1.747 wb_adr_i_0_IBUF
1.730 uart_rxunit_bitpos<2>
1.604 uart_rxunit_N76
1.546 uart_txunit_bitpos<2>
1.537 uart_rxunit_rregl
1.525 uart_rxunit_bitpos<3>
1.519 uart_rxunit_N181
1.498 uart_txunit_bitpos<1>
1.488 uart_rxunit_N270
1.445 uart_txunit_tbufl
---------------------------------
 
-------------------------------------------------------------------------------
Net Delays
-------------------------------------------------------------------------------
 
GLOBAL_LOGIC0
uart_txunit_treg<5>.X
0.365 uart_txunit_N135.G1
 
GLOBAL_LOGIC0_0
uart_rxunit_rregl.X
0.525 uart_rxunit_N202.G1
 
GLOBAL_LOGIC1
loada.Y
0.844 uart_txunit_N135.F1
 
GLOBAL_LOGIC1_0
uart_rxunit_rxav.Y
0.788 uart_rxunit_N202.F1
 
N122
N122.X
0.584 uart_txrate_cnt<0>.SR
 
N126
N122.Y
0.591 uart_txrate_o.SR
 
N131
N131.X
0.186 N131.G4
 
N137
N131.Y
1.277 loada.SR
 
N144
N144.X
0.186 N144.G4
 
N146
N144.Y
1.852 wb_dat_i<0>.ICE
1.852 wb_dat_i<1>.ICE
1.962 wb_dat_i<2>.ICE
1.934 wb_dat_i<3>.ICE
1.665 wb_dat_i<4>.ICE
1.665 wb_dat_i<5>.ICE
2.150 wb_dat_i<6>.ICE
2.111 wb_dat_i<7>.ICE
 
N155
N155.X
0.186 N155.G4
 
N157
N155.Y
0.743 reada.SR
 
N2922
uart_txunit_N91.Y
0.323 uart_txunit_bitpos<1>.G2
 
N2940
N2940.X
0.365 uart_rxunit_rreg<3>.G1
 
N2947
N2964.Y
0.346 uart_rxunit_rreg<7>.G4
 
N2952
uart_rxunit_bitpos<3>.X
0.186 uart_rxunit_bitpos<3>.G4
 
N2955
uart_rxunit_bitpos<1>.X
0.186 uart_rxunit_bitpos<1>.G4
 
N2958
N2958.X
0.186 N2958.G4
 
N2961
uart_rxunit_N332.Y
0.774 uart_rxunit_rreg<2>.F3
 
N2964
N2964.X
0.331 uart_rxunit_rreg<7>.F3
 
N2973
N2973.X
0.186 N2973.G4
 
N64
N64.X
1.074 inttx_o.O
0.634 N64.G3
 
br_clk_i_BUFGP
br_clk_i_BUFGP/BUFG.OUT
0.318 uart_rxunit_datao_1.CLK
0.318 uart_rxunit_datao_3.CLK
0.313 uart_rxunit_datao_5.CLK
0.314 uart_rxunit_datao_7.CLK
0.335 uart_txunit_treg<1>.CLK
0.313 uart_txunit_treg<3>.CLK
0.314 uart_txunit_treg<5>.CLK
0.316 uart_txunit_treg<7>.CLK
0.314 uart_txunit_bitpos<0>.CLK
0.336 uart_txunit_bitpos<1>.CLK
0.315 uart_rxrate_cnt_0_0.CLK
0.315 uart_rxrate_cnt_0_2.CLK
0.391 uart_rxrate_cnt_0_4.CLK
0.391 uart_rxrate_cnt_0_6.CLK
0.316 uart_rxunit_bitpos<2>.CLK
0.316 uart_txunit_bitpos<3>.CLK
0.412 uart_rxunit_rreg<5>.CLK
0.391 uart_rxunit_rreg<7>.CLK
0.333 uart_rxunit_bitpos<1>.CLK
0.335 uart_rxunit_bitpos<3>.CLK
0.335 uart_rxunit_rregl.CLK
0.412 uart_rxunit_samplecnt<1>.CLK
0.315 uart_txunit_tbufl.CLK
0.334 uart_txunit_txd.CLK
0.318 uart_rxunit_rreg<0>.CLK
0.318 uart_rxunit_rreg<1>.CLK
0.412 uart_rxunit_rreg<2>.CLK
0.314 uart_rxunit_rreg<3>.CLK
0.336 uart_rxunit_rreg<4>.CLK
0.314 uart_txunit_syncload_c1s.CLK
0.333 uart_txrate_o.CLK
0.335 uart_txunit_bitpos<2>.CLK
0.314 uart_rxrate_O.CLK
0.335 uart_rxunit_bitpos<0>.CLK
0.335 uart_txrate_cnt<0>.CLK
0.313 uart_txunit_syncload_r.CLK
0.335 uart_txunit_tbuff<1>.CLK
0.314 uart_txunit_tbuff<3>.CLK
0.335 uart_txunit_tbuff<5>.CLK
0.316 uart_txunit_tbuff<7>.CLK
 
br_clk_i_BUFGP/IBUFG
br_clk_i.GCLKOUT
0.006 br_clk_i_BUFGP/BUFG.IN
 
loada
loada.YQ
0.795 uart_txunit_syncload_c1a.CLK
 
reada
reada.YQ
0.697 uart_rxunit_N176.F4
 
rxd_pad_i_IBUF
rxd_pad_i.I
1.090 uart_rxunit_N282.G2
1.015 uart_rxunit_bitpos<2>.F4
1.317 uart_rxunit_I_20_LUT_8_SW0/O.G2
1.168 uart_rxunit_samplecnt<1>.F1
1.328 uart_rxunit_samplecnt<1>.G2
1.396 uart_rxunit_rreg<3>.F1
1.176 uart_rxunit_N332.F3
1.156 uart_rxunit_N332.G2
 
txdata<0>
wb_dat_i<0>.IQ
0.848 uart_txunit_tbuff<1>.BY
 
txdata<1>
wb_dat_i<1>.IQ
0.914 uart_txunit_tbuff<1>.BX
 
txdata<2>
wb_dat_i<2>.IQ
0.594 uart_txunit_tbuff<3>.BY
 
txdata<3>
wb_dat_i<3>.IQ
0.545 uart_txunit_tbuff<3>.BX
 
txdata<4>
wb_dat_i<4>.IQ
0.814 uart_txunit_tbuff<5>.BY
 
txdata<5>
wb_dat_i<5>.IQ
0.730 uart_txunit_tbuff<5>.BX
 
txdata<6>
wb_dat_i<6>.IQ
0.844 uart_txunit_tbuff<7>.BY
 
txdata<7>
wb_dat_i<7>.IQ
1.071 uart_txunit_tbuff<7>.BX
 
uart_rxrate_N26
uart_rxrate_N26.Y
0.584 uart_rxrate_O.SR
 
uart_rxrate_N37
uart_rxrate_N37.Y
0.779 uart_rxrate_N47.G2
0.795 uart_rxrate_N26.G3
 
uart_rxrate_N47
uart_rxrate_N47.X
0.186 uart_rxrate_N47.G4
0.483 uart_rxrate_N26.G2
 
uart_rxrate_N49
uart_rxrate_N47.Y
0.726 uart_rxrate_cnt_0_0.SR
0.681 uart_rxrate_cnt_0_2.SR
0.609 uart_rxrate_cnt_0_4.SR
0.591 uart_rxrate_cnt_0_6.SR
 
uart_rxrate_O
uart_rxrate_O.YQ
1.786 N122.F2
1.998 N122.G1
1.944 uart_rxunit_N176.G4
1.168 uart_rxunit_bitpos<2>.CE
1.731 uart_rxunit_N111.G3
2.046 uart_rxunit_bitpos<1>.CE
1.344 uart_rxunit_bitpos<3>.CE
1.842 uart_rxunit_rregl.CE
1.189 uart_rxunit_N328.F1
1.344 uart_rxunit_bitpos<0>.CE
2.016 uart_txrate_cnt<0>.CE
 
uart_rxrate_cnt_0_0
uart_rxrate_cnt_0_0.XQ
0.950 uart_rxrate_cnt_0_0.F1
0.933 uart_rxrate_N37.G2
 
uart_rxrate_cnt_0_1
uart_rxrate_cnt_0_0.YQ
0.934 uart_rxrate_cnt_0_0.G1
0.955 uart_rxrate_N37.G1
 
uart_rxrate_cnt_0_2
uart_rxrate_cnt_0_2.XQ
0.950 uart_rxrate_cnt_0_2.F1
0.788 uart_rxrate_N37.G3
 
uart_rxrate_cnt_0_3
uart_rxrate_cnt_0_2.YQ
0.934 uart_rxrate_cnt_0_2.G1
0.773 uart_rxrate_N37.G4
 
uart_rxrate_cnt_0_4
uart_rxrate_cnt_0_4.XQ
0.951 uart_rxrate_cnt_0_4.F1
0.880 uart_rxrate_N47.F2
 
uart_rxrate_cnt_0_5
uart_rxrate_cnt_0_4.YQ
0.957 uart_rxrate_cnt_0_4.G1
0.764 uart_rxrate_N47.F3
 
uart_rxrate_cnt_0_6
uart_rxrate_cnt_0_6.XQ
0.950 uart_rxrate_cnt_0_6.F1
0.982 uart_rxrate_N47.F1
 
uart_rxrate_cnt_0_7
uart_rxrate_cnt_0_6.YQ
0.952 uart_rxrate_cnt_0_6.G1
0.722 uart_rxrate_N47.F4
 
uart_rxrate_cnt_Msub__n0000_inst_cy_5
uart_rxrate_cnt_0_0.COUT
0.000 uart_rxrate_cnt_0_2.CIN
 
uart_rxrate_cnt_Msub__n0000_inst_cy_7
uart_rxrate_cnt_0_2.COUT
0.000 uart_rxrate_cnt_0_4.CIN
 
uart_rxrate_cnt_Msub__n0000_inst_cy_9
uart_rxrate_cnt_0_4.COUT
0.000 uart_rxrate_cnt_0_6.CIN
 
uart_rxunit_I_20_LUT_8_SW0/O
uart_rxunit_I_20_LUT_8_SW0/O.X
0.186 uart_rxunit_I_20_LUT_8_SW0/O.G4
 
uart_rxunit_Madd__n0018_inst_cy_1
uart_rxunit_N202.COUT
0.000 uart_rxunit_N181.CIN
 
uart_rxunit_N102
uart_rxunit_rreg<3>.X
0.963 uart_rxunit_rreg<7>.F1
0.810 uart_rxunit_rreg<7>.G3
0.624 uart_rxunit_rreg<0>.G3
0.810 uart_rxunit_rreg<1>.G1
0.186 uart_rxunit_rreg<3>.G4
 
uart_rxunit_N111
uart_rxunit_N111.X
0.743 uart_rxunit_N195.G2
0.186 uart_rxunit_N111.G4
0.525 uart_rxunit_rregl.G1
 
uart_rxunit_N115
uart_rxunit_N111.Y
1.011 uart_rxunit_datao_1.CE
1.011 uart_rxunit_datao_3.CE
1.067 uart_rxunit_datao_5.CE
0.938 uart_rxunit_datao_7.CE
 
uart_rxunit_N125
uart_rxunit_N166.Y
0.884 uart_rxunit_bitpos<3>.G2
 
uart_rxunit_N130
uart_rxunit_N224.Y
1.250 uart_rxunit_bitpos<3>.G3
 
uart_rxunit_N134
uart_rxunit_N181.Y
0.525 uart_rxunit_bitpos<3>.G1
 
uart_rxunit_N144
uart_rxunit_N252.Y
0.310 uart_rxunit_bitpos<3>.F4
 
uart_rxunit_N148
uart_rxunit_N148.X
0.785 uart_rxunit_bitpos<3>.F3
 
uart_rxunit_N157
N2940.Y
0.791 uart_rxunit_rreg<0>.G1
 
uart_rxunit_N166
uart_rxunit_N166.X
1.167 uart_rxunit_rreg<5>.F4
1.246 uart_rxunit_rreg<0>.F1
0.917 uart_rxunit_rreg<1>.F4
1.093 uart_rxunit_rreg<4>.F3
 
uart_rxunit_N170
uart_rxunit_rreg<0>.X
0.186 uart_rxunit_rreg<0>.G4
 
uart_rxunit_N176
uart_rxunit_N176.X
0.809 intrx_o.SR
0.780 uart_rxunit_rxav.SR
 
uart_rxunit_N181
uart_rxunit_N181.X
1.519 uart_rxunit_N328.G2
 
uart_rxunit_N183
uart_rxunit_N328.Y
0.813 uart_rxunit_bitpos<2>.G1
 
uart_rxunit_N189
uart_rxunit_N148.Y
0.323 uart_rxunit_bitpos<2>.G2
0.738 uart_rxunit_I_20_LUT_8_SW0/O.F2
 
uart_rxunit_N195
uart_rxunit_N195.X
1.255 uart_rxunit_bitpos<2>.G3
0.186 uart_rxunit_N195.G4
1.195 uart_rxunit_I_20_LUT_8_SW0/O.G1
0.854 uart_rxunit_rregl.G2
1.154 uart_rxunit_samplecnt<1>.F3
1.293 uart_rxunit_samplecnt<1>.G1
0.970 uart_rxunit_N332.F4
 
uart_rxunit_N199
uart_rxunit_bitpos<2>.X
0.186 uart_rxunit_bitpos<2>.G4
 
uart_rxunit_N202
uart_rxunit_N202.Y
0.905 uart_rxunit_bitpos<1>.G2
 
uart_rxunit_N208
uart_rxunit_N91.Y
0.307 uart_rxunit_bitpos<1>.F4
 
uart_rxunit_N212
uart_rxunit_bitpos<0>.Y
1.172 uart_rxunit_bitpos<1>.F1
 
uart_rxunit_N219
uart_rxunit_N219.X
0.795 uart_rxunit_bitpos<1>.G3
 
uart_rxunit_N224
uart_rxunit_N224.X
0.365 uart_rxunit_bitpos<1>.G1
 
uart_rxunit_N234
uart_rxunit_N195.Y
0.604 uart_rxunit_bitpos<0>.F3
 
uart_rxunit_N245
uart_rxunit_I_20_LUT_8_SW0/O.Y
1.041 uart_rxunit_bitpos<0>.F4
 
uart_rxunit_N252
uart_rxunit_N252.X
0.776 uart_rxunit_rreg<1>.G3
 
uart_rxunit_N260
uart_rxunit_rreg<1>.X
0.186 uart_rxunit_rreg<1>.G4
 
uart_rxunit_N270
uart_rxunit_N219.Y
1.488 uart_rxunit_rreg<3>.G2
 
uart_rxunit_N275
N2958.Y
0.323 uart_rxunit_rreg<2>.G2
 
uart_rxunit_N282
uart_rxunit_N282.X
1.123 uart_rxunit_rreg<5>.G2
1.116 uart_rxunit_rreg<4>.G2
 
uart_rxunit_N284
uart_rxunit_rreg<2>.X
0.186 uart_rxunit_rreg<2>.G4
 
uart_rxunit_N309
uart_rxunit_rreg<4>.X
0.186 uart_rxunit_rreg<4>.G4
 
uart_rxunit_N322
uart_rxunit_rreg<5>.X
0.186 uart_rxunit_rreg<5>.G4
 
uart_rxunit_N328
uart_rxunit_N328.X
0.546 uart_rxunit_N332.F1
 
uart_rxunit_N332
uart_rxunit_N332.X
0.584 uart_rxunit_samplecnt<1>.SR
 
uart_rxunit_N76
uart_rxunit_N176.Y
1.017 uart_rxunit_rreg<5>.CE
1.604 uart_rxunit_rreg<7>.CE
1.186 uart_rxunit_samplecnt<1>.CE
1.362 uart_rxunit_rreg<0>.CE
1.362 uart_rxunit_rreg<1>.CE
1.161 uart_rxunit_rreg<2>.CE
1.361 uart_rxunit_rreg<3>.CE
1.053 uart_rxunit_rreg<4>.CE
 
uart_rxunit_N91
uart_rxunit_N91.X
0.929 uart_rxunit_rreg<7>.F4
1.166 uart_rxunit_rreg<7>.G1
 
uart_rxunit_bitpos<0>
uart_rxunit_bitpos<0>.XQ
1.771 uart_rxunit_N148.G1
1.871 N2940.F1
1.151 N2940.G3
1.557 uart_rxunit_N202.F3
1.467 uart_rxunit_N195.F3
1.703 uart_rxunit_I_20_LUT_8_SW0/O.G3
1.855 uart_rxunit_N111.F1
1.710 uart_rxunit_rreg<5>.F2
1.151 uart_rxunit_rreg<5>.G1
1.919 uart_rxunit_rreg<0>.F2
1.646 uart_rxunit_rreg<1>.F3
1.637 uart_rxunit_rreg<2>.F1
1.128 uart_rxunit_rreg<4>.F1
1.135 uart_rxunit_rreg<4>.G3
1.163 N2958.G1
1.633 uart_rxunit_bitpos<0>.F1
0.996 uart_rxunit_bitpos<0>.G3
1.639 uart_rxunit_N252.F1
1.117 uart_rxunit_N252.G2
1.495 uart_rxunit_N224.F4
1.268 uart_rxunit_N224.G1
0.682 N2964.F3
0.709 N2964.G3
 
uart_rxunit_bitpos<1>
uart_rxunit_bitpos<1>.YQ
1.396 uart_rxunit_N219.F2
1.399 uart_rxunit_N148.F3
1.743 uart_rxunit_N148.G2
1.715 N2940.F2
1.712 N2940.G1
1.169 uart_rxunit_N202.G3
1.563 uart_rxunit_N195.F1
1.151 uart_rxunit_N111.F3
1.798 uart_rxunit_rreg<5>.G3
1.150 uart_rxunit_bitpos<1>.F2
1.634 uart_rxunit_rreg<2>.F2
1.666 uart_rxunit_rreg<4>.G1
1.589 N2958.F2
1.762 uart_rxunit_N166.F2
1.375 uart_rxunit_N252.F4
1.606 uart_rxunit_N252.G4
1.001 uart_rxunit_N224.G4
1.794 N2964.F1
1.670 N2964.G2
 
uart_rxunit_bitpos<2>
uart_rxunit_bitpos<2>.YQ
1.096 uart_rxunit_N148.G4
1.254 N2940.F3
1.324 N2940.G4
1.437 uart_rxunit_N282.G1
1.730 uart_rxunit_N181.F1
0.903 uart_rxunit_bitpos<2>.F3
1.548 uart_rxunit_N195.F4
1.652 uart_rxunit_N111.F2
1.707 uart_rxunit_rreg<5>.F1
1.495 uart_rxunit_rreg<0>.F3
1.714 uart_rxunit_rreg<1>.F1
1.437 uart_rxunit_rreg<4>.F4
0.804 N2958.G3
1.097 uart_rxunit_N328.G1
1.435 uart_rxunit_bitpos<0>.G1
1.160 uart_rxunit_N252.F3
1.420 uart_rxunit_N252.G1
1.310 uart_rxunit_N332.G3
1.567 uart_rxunit_N224.F2
1.448 uart_rxunit_N224.G3
1.293 N2964.F2
1.359 N2964.G1
 
uart_rxunit_bitpos<3>
uart_rxunit_bitpos<3>.YQ
1.189 uart_rxunit_N148.F4
1.487 uart_rxunit_N148.G3
1.175 N2940.F4
1.525 N2940.G2
1.200 uart_rxunit_N282.G4
0.728 uart_rxunit_N181.G3
1.204 uart_rxunit_N195.F2
1.353 uart_rxunit_N111.F4
1.115 uart_rxunit_bitpos<1>.F3
1.511 N2958.F1
1.354 uart_rxunit_N166.F3
1.365 uart_rxunit_N166.G1
1.371 uart_rxunit_N252.F2
1.295 uart_rxunit_N252.G3
1.421 uart_rxunit_N332.G4
1.349 uart_rxunit_N224.F1
1.041 N2964.F4
1.106 N2964.G4
 
uart_rxunit_datao_0
uart_rxunit_datao_1.YQ
1.262 N64.G2
 
uart_rxunit_datao_1
uart_rxunit_datao_1.XQ
0.958 wb_dat_o_1_OBUF.F1
 
uart_rxunit_datao_2
uart_rxunit_datao_3.YQ
0.660 wb_dat_o_2_OBUF.F1
 
uart_rxunit_datao_3
uart_rxunit_datao_3.XQ
0.448 wb_dat_o_3_OBUF.F3
 
uart_rxunit_datao_4
uart_rxunit_datao_5.YQ
0.866 wb_dat_o_4_OBUF.G2
 
uart_rxunit_datao_5
uart_rxunit_datao_5.XQ
0.876 wb_dat_o_3_OBUF.G2
 
uart_rxunit_datao_6
uart_rxunit_datao_7.YQ
0.848 wb_dat_o_2_OBUF.G4
 
uart_rxunit_datao_7
uart_rxunit_datao_7.XQ
0.739 wb_dat_o_1_OBUF.G3
 
uart_rxunit_rreg<0>
uart_rxunit_rreg<0>.YQ
0.717 uart_rxunit_datao_1.BY
0.895 uart_rxunit_rreg<0>.G2
 
uart_rxunit_rreg<1>
uart_rxunit_rreg<1>.YQ
0.737 uart_rxunit_datao_1.BX
0.897 uart_rxunit_rreg<1>.G2
 
uart_rxunit_rreg<2>
uart_rxunit_rreg<2>.YQ
1.281 uart_rxunit_datao_3.BY
0.904 N2958.G2
 
uart_rxunit_rreg<3>
uart_rxunit_rreg<3>.YQ
1.045 uart_rxunit_datao_3.BX
0.887 uart_rxunit_rreg<3>.G3
 
uart_rxunit_rreg<4>
uart_rxunit_rreg<4>.YQ
1.064 uart_rxunit_datao_5.BY
0.919 uart_rxunit_rreg<4>.F2
 
uart_rxunit_rreg<5>
uart_rxunit_rreg<5>.YQ
1.191 uart_rxunit_datao_5.BX
0.761 uart_rxunit_rreg<5>.F3
 
uart_rxunit_rreg<6>
uart_rxunit_rreg<7>.YQ
0.879 uart_rxunit_datao_7.BY
0.927 uart_rxunit_rreg<7>.G2
 
uart_rxunit_rreg<7>
uart_rxunit_rreg<7>.XQ
0.753 uart_rxunit_datao_7.BX
0.872 uart_rxunit_rreg<7>.F2
 
uart_rxunit_rregl
uart_rxunit_rregl.YQ
1.537 intrx_o.CLK
0.780 uart_rxunit_rregl.G3
0.937 uart_rxunit_rxav.CLK
 
uart_rxunit_rxav
uart_rxunit_rxav.YQ
1.321 wb_dat_o_1_OBUF.F3
 
uart_rxunit_samplecnt<0>
uart_rxunit_samplecnt<1>.YQ
1.311 uart_rxunit_N219.F4
1.315 uart_rxunit_N219.G3
1.330 uart_rxunit_N282.G3
1.452 uart_rxunit_N195.G3
1.163 uart_rxunit_I_20_LUT_8_SW0/O.F3
1.461 uart_rxunit_bitpos<3>.F1
1.293 uart_rxunit_samplecnt<1>.F2
1.189 uart_rxunit_samplecnt<1>.G3
1.110 uart_rxunit_rreg<2>.F4
1.555 uart_rxunit_rreg<3>.F2
1.139 N2958.F4
0.782 uart_rxunit_N328.F3
0.809 uart_rxunit_N328.G3
1.841 uart_rxunit_N166.F1
1.810 uart_rxunit_N166.G3
1.663 uart_rxunit_N91.F1
1.425 uart_rxunit_N91.G4
 
uart_rxunit_samplecnt<1>
uart_rxunit_samplecnt<1>.XQ
1.344 uart_rxunit_N219.F1
1.273 uart_rxunit_N219.G4
1.522 uart_rxunit_N282.BX
1.579 uart_rxunit_N195.G1
1.057 uart_rxunit_I_20_LUT_8_SW0/O.F1
1.619 uart_rxunit_bitpos<3>.F2
1.240 uart_rxunit_samplecnt<1>.F4
1.385 uart_rxunit_rreg<3>.F3
1.022 N2958.F3
0.821 uart_rxunit_N328.F4
0.860 uart_rxunit_N328.G4
1.403 uart_rxunit_N166.F4
1.843 uart_rxunit_N166.G4
1.732 uart_rxunit_N91.F2
1.710 uart_rxunit_N91.G3
1.308 uart_rxunit_N332.G1
 
uart_txrate_cnt<0>
uart_txrate_cnt<0>.XQ
0.805 N122.F3
0.987 N122.G2
0.809 uart_txrate_cnt<0>.BX
1.015 uart_txrate_cnt<0>.G1
 
uart_txrate_cnt<1>
uart_txrate_cnt<0>.YQ
0.772 N122.F4
0.844 N122.G3
0.817 uart_txrate_cnt<0>.G3
 
uart_txrate_o
uart_txrate_o.YQ
1.848 uart_txunit_bitpos<0>.CE
1.191 uart_txunit_bitpos<1>.CE
1.376 uart_txunit_bitpos<3>.CE
1.426 uart_txunit_tbufl.G3
1.207 uart_txunit_txd.CE
1.206 N2973.G2
1.453 uart_txunit_N196.G3
1.516 uart_txunit_bitpos<2>.CE
 
uart_txunit_Madd__n0012_inst_cy_1
uart_txunit_N135.COUT
0.000 uart_txunit_N127.CIN
 
uart_txunit_N107
uart_txunit_N99.Y
1.010 uart_txunit_txd.F2
 
uart_txunit_N109
uart_txunit_txd.X
0.186 uart_txunit_txd.G4
 
uart_txunit_N124
uart_txunit_N124.X
0.749 uart_txunit_txd.G2
 
uart_txunit_N127
uart_txunit_N127.X
0.546 uart_txunit_bitpos<1>.F1
0.383 uart_txunit_bitpos<3>.F1
1.024 uart_txunit_N145.G1
0.812 uart_txunit_bitpos<2>.F3
0.872 uart_txunit_bitpos<2>.G4
 
uart_txunit_N135
uart_txunit_N135.Y
0.729 uart_txunit_bitpos<1>.F4
0.606 uart_txunit_bitpos<3>.F4
0.958 uart_txunit_N145.G3
0.361 uart_txunit_bitpos<2>.G3
 
uart_txunit_N137
uart_txunit_bitpos<2>.Y
0.610 uart_txunit_bitpos<1>.G4
 
uart_txunit_N143
uart_txunit_N127.Y
0.508 uart_txunit_bitpos<1>.F2
0.304 uart_txunit_bitpos<3>.F2
0.881 uart_txunit_N145.G2
 
uart_txunit_N145
uart_txunit_N145.Y
1.205 uart_txunit_bitpos<1>.G1
 
uart_txunit_N184
uart_txunit_bitpos<3>.X
0.186 uart_txunit_bitpos<3>.G4
 
uart_txunit_N196
uart_txunit_N196.X
0.364 uart_txunit_tbufl.G1
0.185 uart_txunit_N196.G4
 
uart_txunit_N201
uart_txunit_N196.Y
0.581 uart_txunit_tbufl.CE
 
uart_txunit_N66
uart_txunit_N66.Y
0.733 uart_txunit_tbuff<1>.CE
0.712 uart_txunit_tbuff<3>.CE
0.616 uart_txunit_tbuff<5>.CE
1.058 uart_txunit_tbuff<7>.CE
 
uart_txunit_N83
N2973.Y
0.749 uart_txunit_treg<1>.CE
1.300 uart_txunit_treg<3>.CE
0.878 uart_txunit_treg<5>.CE
0.930 uart_txunit_treg<7>.CE
 
uart_txunit_N91
uart_txunit_N91.X
0.738 uart_txunit_txd.F3
 
uart_txunit_N99
uart_txunit_N99.X
0.952 uart_txunit_txd.F4
 
uart_txunit_bitpos<0>
uart_txunit_bitpos<0>.XQ
0.948 uart_txunit_N99.F3
1.184 uart_txunit_N99.G2
0.911 uart_txunit_bitpos<0>.F3
1.271 uart_txunit_bitpos<0>.G2
1.392 uart_txunit_bitpos<1>.F3
1.281 uart_txunit_bitpos<1>.G3
1.420 uart_txunit_N124.BX
0.894 uart_txunit_N135.F2
1.182 uart_txunit_bitpos<3>.F3
1.413 N2973.G3
0.925 uart_txunit_N196.F4
1.131 uart_txunit_N145.G4
1.338 uart_txunit_N91.F3
 
uart_txunit_bitpos<1>
uart_txunit_bitpos<1>.XQ
1.305 uart_txunit_N99.F4
1.498 uart_txunit_N99.G1
1.483 uart_txunit_bitpos<0>.G1
0.652 uart_txunit_N124.F4
0.691 uart_txunit_N124.G4
1.135 uart_txunit_N135.G3
0.870 uart_txunit_bitpos<3>.G2
0.888 N2973.F1
1.234 uart_txunit_N196.F3
1.135 uart_txunit_bitpos<2>.F1
1.255 uart_txunit_N91.F1
1.010 uart_txunit_N91.G3
 
uart_txunit_bitpos<2>
uart_txunit_bitpos<2>.XQ
1.055 uart_txunit_bitpos<0>.G3
1.192 uart_txunit_N124.F1
1.126 uart_txunit_N124.G3
1.222 uart_txunit_N127.F2
1.468 uart_txunit_bitpos<3>.G1
0.920 uart_txunit_txd.F1
1.048 N2973.F4
1.546 uart_txunit_N196.F1
1.161 uart_txunit_bitpos<2>.F2
1.042 uart_txunit_N91.G4
 
uart_txunit_bitpos<3>
uart_txunit_bitpos<3>.YQ
1.229 uart_txunit_bitpos<0>.BX
1.216 uart_txunit_bitpos<1>.BX
1.005 uart_txunit_N124.F3
1.401 uart_txunit_N124.G2
1.137 uart_txunit_N127.G4
1.063 uart_txunit_bitpos<3>.G3
1.327 uart_txunit_txd.G3
1.363 N2973.F3
0.748 uart_txunit_N196.F2
1.149 uart_txunit_bitpos<2>.F4
 
uart_txunit_syncload_c1a
uart_txunit_syncload_c1a.YQ
0.722 uart_txunit_syncload_c1s.BY
 
uart_txunit_syncload_c1s
uart_txunit_syncload_c1s.YQ
1.149 uart_txunit_N196.G1
1.180 N64.F4
1.113 uart_txunit_N66.G1
0.797 uart_txunit_syncload_r.SR
 
uart_txunit_syncload_r
uart_txunit_syncload_r.YQ
0.724 uart_txunit_syncload_c1a.SR
0.722 uart_txunit_syncload_c1s.SR
 
uart_txunit_tbuff<0>
uart_txunit_tbuff<1>.YQ
0.701 uart_txunit_treg<1>.BY
 
uart_txunit_tbuff<1>
uart_txunit_tbuff<1>.XQ
0.736 uart_txunit_treg<1>.BX
 
uart_txunit_tbuff<2>
uart_txunit_tbuff<3>.YQ
1.055 uart_txunit_treg<3>.BY
 
uart_txunit_tbuff<3>
uart_txunit_tbuff<3>.XQ
1.067 uart_txunit_treg<3>.BX
 
uart_txunit_tbuff<4>
uart_txunit_tbuff<5>.YQ
0.683 uart_txunit_treg<5>.BY
 
uart_txunit_tbuff<5>
uart_txunit_tbuff<5>.XQ
0.692 uart_txunit_treg<5>.BX
 
uart_txunit_tbuff<6>
uart_txunit_tbuff<7>.YQ
0.705 uart_txunit_treg<7>.BY
 
uart_txunit_tbuff<7>
uart_txunit_tbuff<7>.XQ
0.693 uart_txunit_treg<7>.BX
 
uart_txunit_tbufl
uart_txunit_tbufl.YQ
0.836 uart_txunit_bitpos<0>.G4
0.838 uart_txunit_tbufl.G4
1.445 N2973.G1
0.993 uart_txunit_N196.G2
1.278 N64.F3
 
uart_txunit_treg<0>
uart_txunit_treg<1>.YQ
0.857 uart_txunit_N91.F2
 
uart_txunit_treg<1>
uart_txunit_treg<1>.XQ
0.691 uart_txunit_N91.F4
 
uart_txunit_treg<2>
uart_txunit_treg<3>.YQ
0.463 uart_txunit_N99.G4
 
uart_txunit_treg<3>
uart_txunit_treg<3>.XQ
0.475 uart_txunit_N99.G3
 
uart_txunit_treg<4>
uart_txunit_treg<5>.YQ
0.581 uart_txunit_N99.F2
 
uart_txunit_treg<5>
uart_txunit_treg<5>.XQ
0.660 uart_txunit_N99.F1
 
uart_txunit_treg<6>
uart_txunit_treg<7>.YQ
1.353 uart_txunit_N124.G1
 
uart_txunit_treg<7>
uart_txunit_treg<7>.XQ
1.234 uart_txunit_N124.F2
 
uart_txunit_txd
uart_txunit_txd.YQ
0.940 txd_pad_o.O
 
wb_ack_o_OBUF
wb_stb_i.I
2.092 wb_ack_o.O
1.919 N144.G1
1.694 N131.F2
1.757 N155.F2
 
wb_adr_i_0_IBUF
wb_adr_i<0>.I
1.354 N64.G1
1.436 N144.F3
1.747 N131.G1
1.693 N155.G1
1.306 wb_dat_o_4_OBUF.G1
1.351 wb_dat_o_3_OBUF.F1
1.092 wb_dat_o_3_OBUF.G4
1.115 wb_dat_o_2_OBUF.F4
1.039 wb_dat_o_2_OBUF.G3
1.249 wb_dat_o_1_OBUF.F2
1.206 wb_dat_o_1_OBUF.G1
 
wb_adr_i_1_IBUF
wb_adr_i<1>.I
1.809 N64.G4
1.891 N144.F4
1.984 N131.G2
1.964 N155.G3
0.729 wb_dat_o_4_OBUF.G3
1.130 wb_dat_o_3_OBUF.F4
1.156 wb_dat_o_3_OBUF.G3
1.072 wb_dat_o_2_OBUF.F3
1.348 wb_dat_o_2_OBUF.G1
1.266 wb_dat_o_1_OBUF.F4
1.239 wb_dat_o_1_OBUF.G2
 
wb_clk_i_BUFGP
wb_clk_i_BUFGP/BUFG.OUT
0.391 loada.CLK
0.411 reada.CLK
0.365 wb_dat_i<0>.CLK
0.365 wb_dat_i<1>.CLK
0.344 wb_dat_i<2>.CLK
0.344 wb_dat_i<3>.CLK
0.365 wb_dat_i<4>.CLK
0.365 wb_dat_i<5>.CLK
0.344 wb_dat_i<6>.CLK
0.344 wb_dat_i<7>.CLK
 
wb_clk_i_BUFGP/IBUFG
wb_clk_i.GCLKOUT
0.006 wb_clk_i_BUFGP/BUFG.IN
 
wb_dat_o_0_OBUF
N64.Y
0.771 wb_dat_o<0>.O
 
wb_dat_o_1_OBUF
wb_dat_o_1_OBUF.X
0.880 wb_dat_o<1>.O
 
wb_dat_o_2_OBUF
wb_dat_o_2_OBUF.X
0.354 wb_dat_o<2>.O
 
wb_dat_o_3_OBUF
wb_dat_o_3_OBUF.X
0.937 wb_dat_o<3>.O
 
wb_dat_o_4_OBUF
wb_dat_o_4_OBUF.Y
0.354 wb_dat_o<4>.O
 
wb_dat_o_5_OBUF
wb_dat_o_3_OBUF.Y
0.713 wb_dat_o<5>.O
 
wb_dat_o_6_OBUF
wb_dat_o_2_OBUF.Y
0.354 wb_dat_o<6>.O
 
wb_dat_o_7_OBUF
wb_dat_o_1_OBUF.Y
0.773 wb_dat_o<7>.O
 
wb_rst_i_IBUF
wb_rst_i.I
1.697 uart_rxunit_N176.F1
1.679 uart_rxunit_N176.G1
0.612 uart_txunit_bitpos<0>.SR
1.722 uart_txunit_bitpos<1>.SR
2.509 uart_rxunit_bitpos<2>.SR
1.033 uart_txunit_bitpos<3>.SR
2.016 uart_rxunit_N111.G2
1.976 uart_rxunit_bitpos<1>.SR
1.950 uart_rxunit_bitpos<3>.SR
1.808 uart_rxunit_rregl.SR
0.619 uart_txunit_tbufl.SR
1.146 uart_txunit_txd.SR
1.716 N2973.F2
2.024 N144.G2
1.363 N131.F4
1.257 N155.F4
0.903 uart_txunit_bitpos<2>.SR
1.347 uart_txunit_N66.G2
2.487 uart_rxunit_bitpos<0>.SR
 
wb_we_i_IBUF
wb_we_i.I
0.959 N144.G3
0.861 N131.G3
1.083 N155.G2
 
/branches/avendor/impl/Xilinx_xc2s15/uart._prj
0,0 → 1,2
plslib
pls ../rtl/vhdl/utils.vhd ../rtl/vhdl/Rxunit.vhd ../rtl/vhdl/Txunit.vhd ../rtl/vhdl/miniuart.vhd
/branches/avendor/impl/Xilinx_xc2s15/utils.jhd
0,0 → 1,2
MODULE synchroniser
MODULE counter
/branches/avendor/impl/Xilinx_xc2s15/uart.prj
0,0 → 1,4
work ../rtl/vhdl/utils.vhd
work ../rtl/vhdl/Rxunit.vhd
work ../rtl/vhdl/Txunit.vhd
work ../rtl/vhdl/miniuart.vhd
/branches/avendor/impl/Xilinx_xc2s15/uart.mrp
0,0 → 1,121
Release 4.2i - Map E.35
Xilinx Mapping Report File for Design 'uart'
 
Design Information
------------------
Command Line : map -p xc2s15-cs144-6 -cm area -k 4 -c 100 -tx off uart.ngd
Target Device : x2s15
Target Package : cs144
Target Speed : -6
Mapper Version : spartan2 -- $Revision: 1.1.1.1 $
Mapped Date : Thu Jan 09 18:11:05 2003
 
Design Summary
--------------
Number of errors: 0
Number of warnings: 0
Number of Slices: 83 out of 192 43%
Number of Slices containing
unrelated logic: 0 out of 83 0%
Number of Slice Flip Flops: 63 out of 384 16%
Total Number 4 input LUTs: 115 out of 384 29%
Number used as LUTs: 110
Number used as a route-thru: 5
Number of bonded IOBs: 26 out of 86 30%
IOB Flip Flops: 9
Number of GCLKs: 2 out of 4 50%
Number of GCLKIOBs: 2 out of 4 50%
Total equivalent gate count for design: 1,329
Additional JTAG gate count for IOBs: 1,344
 
Table of Contents
-----------------
Section 1 - Errors
Section 2 - Warnings
Section 3 - Informational
Section 4 - Removed Logic Summary
Section 5 - Removed Logic
Section 6 - IOB Properties
Section 7 - RPMs
Section 8 - Guide Report
Section 9 - Area Group Summary
Section 10 - Modular Design Summary
 
Section 1 - Errors
------------------
 
Section 2 - Warnings
--------------------
 
Section 3 - Informational
-------------------------
INFO:MapLib:62 - All of the external outputs in this design are using slew rate
limited output drivers. The delay on speed critical outputs can be
dramatically reduced by designating them as fast outputs in the schematic.
 
Section 4 - Removed Logic Summary
---------------------------------
2 block(s) optimized away
 
Section 5 - Removed Logic
-------------------------
 
Optimized Block(s):
TYPE BLOCK
GND GND_I
VCC VCC_I
 
To enable printing of redundant blocks removed and signals merged, set the
detailed map report option and rerun map.
 
Section 6 - IOB Properties
--------------------------
 
+------------------------------------------------------------------------------------------------------------------------+
| IOB Name | Type | Direction | IO Standard | Drive | Slew | Reg (s) | Resistor | IOB |
| | | | | Strength | Rate | | | Delay |
+------------------------------------------------------------------------------------------------------------------------+
| br_clk_i | GCLKIOB | INPUT | LVTTL | | | | | |
| wb_clk_i | GCLKIOB | INPUT | LVTTL | | | | | |
| intrx_o | IOB | OUTPUT | LVTTL | 12 | SLOW | OUTFF | | |
| inttx_o | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| rxd_pad_i | IOB | INPUT | LVTTL | | | | | |
| txd_pad_o | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_ack_o | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_adr_i<0> | IOB | INPUT | LVTTL | | | | | |
| wb_adr_i<1> | IOB | INPUT | LVTTL | | | | | |
| wb_dat_i<0> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<1> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<2> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<3> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<4> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<5> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<6> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_i<7> | IOB | INPUT | LVTTL | | | INFF | | DELAY |
| wb_dat_o<0> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<1> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<2> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<3> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<4> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<5> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<6> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_dat_o<7> | IOB | OUTPUT | LVTTL | 12 | SLOW | | | |
| wb_rst_i | IOB | INPUT | LVTTL | | | | | |
| wb_stb_i | IOB | INPUT | LVTTL | | | | | |
| wb_we_i | IOB | INPUT | LVTTL | | | | | |
+------------------------------------------------------------------------------------------------------------------------+
 
Section 7 - RPMs
----------------
 
Section 8 - Guide Report
------------------------
Guide not run on this design.
 
Section 9 - Area Group Summary
------------------------------
No area groups were found in this design.
 
Section 10 - Modular Design Summary
-----------------------------------
Modular Design not used for this design.
/branches/avendor/impl/Xilinx_xc2s15/uart.xpi
0,0 → 1,3
PROGRAM=PAR
STATE=ROUTED
TIMESPECS_MET=OFF
/branches/avendor/impl/Xilinx_xc2s15/_ngdTOnc1_exewrap.rsp
0,0 → 1,3
-tapkeep -mode pipe -tcl -command e:/ise/data/projnav/_map.tcl _map.rsp uart cmd _map.log
/branches/avendor/impl/Xilinx_xc2s15/uart.ncd Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
branches/avendor/impl/Xilinx_xc2s15/uart.ncd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xc2s15/uart.pad =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.pad (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.pad (revision 22) @@ -0,0 +1,240 @@ +Release 4.2i - Par E.35 +Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved. + +Thu Jan 09 18:11:11 2003 + +Xilinx PAD Specification File +***************************** + +Input file: par_temp.ncd +Output file: uart.ncd +Part type: xc2s15 +Speed grade: -6 +Package: cs144 + +Pinout by Signal Name: +--------------------------|-----------------|--------|-----------|-------------|----------|----------|------|----------|-----------|---------|-----------| + Signal Name | Pin Name | Pin | Direction | IO Standard |IO Bank # |Drive (mA)| Slew | Pullup | IOB Delay | Voltage |Constraint | + | | Number | | | | | Rate | Pulldown | | | | +--------------------------|-----------------|--------|-----------|-------------|----------|----------|------|----------|-----------|---------|-----------| + br_clk_i | | M7 | INPUT | LVTTL | 5 | 12* | SLOW*| NONE** | *** | | | + intrx_o | | N8 | OUTPUT | LVTTL | 4 | 12 | SLOW | NONE** | *** | | | + inttx_o | | K6 | OUTPUT | LVTTL | 5 | 12 | SLOW | NONE** | *** | | | + rxd_pad_i | | C6 | INPUT | LVTTL | 0 | 12* | SLOW*| NONE** | NONE | | | + txd_pad_o | VREF | L8 | OUTPUT | LVTTL | 4 | 12 | SLOW | NONE** | *** | | | + wb_ack_o | | M8 | OUTPUT | LVTTL | 4 | 12 | SLOW | NONE** | *** | | | + wb_adr_i<0> | | K4 | INPUT | LVTTL | 5 | 12* | SLOW*| NONE** | NONE | | | + wb_adr_i<1> | | H4 | INPUT | LVTTL | 6 | 12* | SLOW*| NONE** | NONE | | | + wb_clk_i | | K7 | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | *** | | | + wb_dat_i<0> | | C8 | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<1> | | D8 | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<2> | | N10 | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<3> | | K9 | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<4> | | N9 | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<5> | | K8 | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<6> | | D9 | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_i<7> | | C9 | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + wb_dat_o<0> | VREF | L6 | OUTPUT | LVTTL | 5 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<1> | | H3 | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<2> | | J2 | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<3> | | K2 | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<4> | VREF | H2 | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<5> | VREF | K1 | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<6> | | J3 | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + wb_dat_o<7> | VREF | L4 | OUTPUT | LVTTL | 5 | 12 | SLOW | NONE** | *** | | | + wb_rst_i | | N11 | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | NONE | | | + wb_stb_i | DOUT_BUSY | C11 | INPUT | LVTTL | 2 | 12* | SLOW*| NONE** | NONE | | | + wb_we_i | | M6 | INPUT | LVTTL | 5 | 12* | SLOW*| NONE** | NONE | | | +--------------------------|-----------------|--------|-----------|-------------|----------|----------|------|----------|-----------|---------|-----------| + +Pinout by Pin Number: +--------|--------------------------|-----------------|-----------|-------------|----------|----------|------|----------|-----------|---------|-----------| + Pin | Signal Name | Pin Name | Direction | IO Standard |IO Bank # |Drive (mA)| Slew | Pullup | IOB Delay | Voltage |Constraint | + Number | | | | | | | Rate | Pulldown | | | | +--------|--------------------------|-----------------|-----------|-------------|----------|----------|------|----------|-----------|---------|-----------| + A1 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + A2 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + A3 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + A4 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + A5 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + A6 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + A7 | | | UNUSED | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + A8 | | | UNUSED | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + A9 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + A10 | | VREF | | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + A11 | | TDI | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + A12 | | TDO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + A13 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + B1 | | TMS | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + B2 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + B3 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + B4 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + B5 | | | UNUSED | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + B6 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + B7 | | | UNUSED | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + B8 | | VREF | | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + B9 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + B10 | | | UNUSED | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + B11 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + B12 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + B13 | | CCLK | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + C1 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + C2 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + C3 | | TCK | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + C4 | | VREF | | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + C5 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + C6 | rxd_pad_i | | INPUT | LVTTL | 0 | 12* | SLOW*| NONE** | NONE | | | + C7 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + C8 | wb_dat_i<0> | | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + C9 | wb_dat_i<7> | | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + C10 | | WRITE | | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + C11 | wb_stb_i | DOUT_BUSY | INPUT | LVTTL | 2 | 12* | SLOW*| NONE** | NONE | | | + C12 | | DIN_D0 | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + C13 | | | UNUSED | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + D1 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + D2 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + D3 | | NC | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + D4 | | VREF | | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + D5 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + D6 | | VREF | | LVTTL* | 0 | 12* | SLOW*| NONE** | *** | | | + D7 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + D8 | wb_dat_i<1> | | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + D9 | wb_dat_i<6> | | INPUT | LVTTL | 1 | 12* | SLOW*| NONE** | IFD | | | + D10 | | CS | | LVTTL* | 1 | 12* | SLOW*| NONE** | *** | | | + D11 | | VREF | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + D12 | | NC | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + D13 | | | UNUSED | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + E1 | | VREF | | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + E2 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + E3 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + E4 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + E10 | | D1 | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + E11 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + E12 | | D2 | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + E13 | | | UNUSED | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + F1 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + F2 | | IRDY | | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + F3 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + F4 | | | UNUSED | LVTTL* | 7 | 12* | SLOW*| NONE** | *** | | | + F10 | | VREF | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + F11 | | D3 | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + F12 | | | UNUSED | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + F13 | | IRDY | | LVTTL* | 2 | 12* | SLOW*| NONE** | *** | | | + G1 | | TRDY | | LVTTL* | 6 | 12* | SLOW*| NONE** | *** | | | + G2 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + G3 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + G4 | | | UNUSED | LVTTL* | 6 | 12* | SLOW*| NONE** | *** | | | + G10 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + G11 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + G12 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + G13 | | TRDY | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + H1 | | | UNUSED | LVTTL* | 6 | 12* | SLOW*| NONE** | *** | | | + H2 | wb_dat_o<4> | VREF | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + H3 | wb_dat_o<1> | | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + H4 | wb_adr_i<1> | | INPUT | LVTTL | 6 | 12* | SLOW*| NONE** | NONE | | | + H10 | | | UNUSED | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + H11 | | VREF | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + H12 | | D4 | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + H13 | | | UNUSED | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + J1 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + J2 | wb_dat_o<2> | | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + J3 | wb_dat_o<6> | | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + J4 | | NC | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + J10 | | | UNUSED | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + J11 | | D6 | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + J12 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + J13 | | D5 | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + K1 | wb_dat_o<5> | VREF | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + K2 | wb_dat_o<3> | | OUTPUT | LVTTL | 6 | 12 | SLOW | NONE** | *** | | | + K3 | | | UNUSED | LVTTL* | 6 | 12* | SLOW*| NONE** | *** | | | + K4 | wb_adr_i<0> | | INPUT | LVTTL | 5 | 12* | SLOW*| NONE** | NONE | | | + K5 | | | UNUSED | LVTTL* | 5 | 12* | SLOW*| NONE** | *** | | | + K6 | inttx_o | | OUTPUT | LVTTL | 5 | 12 | SLOW | NONE** | *** | | | + K7 | wb_clk_i | | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | *** | | | + K8 | wb_dat_i<5> | | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + K9 | wb_dat_i<3> | | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + K10 | | D7 | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + K11 | | | UNUSED | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + K12 | | VREF | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + K13 | | NC | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L1 | | | UNUSED | LVTTL* | 6 | 12* | SLOW*| NONE** | *** | | | + L2 | | M1 | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L3 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L4 | wb_dat_o<7> | VREF | OUTPUT | LVTTL | 5 | 12 | SLOW | NONE** | *** | | | + L5 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L6 | wb_dat_o<0> | VREF | OUTPUT | LVTTL | 5 | 12 | SLOW | NONE** | *** | | | + L7 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L8 | txd_pad_o | VREF | OUTPUT | LVTTL | 4 | 12 | SLOW | NONE** | *** | | | + L9 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L10 | | VREF | | LVTTL* | 4 | 12* | SLOW*| NONE** | *** | | | + L11 | | | UNUSED | LVTTL* | 4 | 12* | SLOW*| NONE** | *** | | | + L12 | | PROGRAM | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + L13 | | INIT | | LVTTL* | 3 | 12* | SLOW*| NONE** | *** | | | + M1 | | M0 | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + M2 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + M4 | | NC | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + M5 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + M6 | wb_we_i | | INPUT | LVTTL | 5 | 12* | SLOW*| NONE** | NONE | | | + M7 | br_clk_i | | INPUT | LVTTL | 5 | 12* | SLOW*| NONE** | *** | | | + M8 | wb_ack_o | | OUTPUT | LVTTL | 4 | 12 | SLOW | NONE** | *** | | | + M9 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + M10 | | NC | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + M11 | | | UNUSED | LVTTL* | 4 | 12* | SLOW*| NONE** | *** | | | + M12 | | DONE | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + M13 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + N1 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + N2 | | M2 | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + N4 | | | UNUSED | LVTTL* | 5 | 12* | SLOW*| NONE** | *** | | | + N5 | | | UNUSED | LVTTL* | 5 | 12* | SLOW*| NONE** | *** | | | + N6 | | VCCINT | | LVTTL* | | 12* | SLOW*| NONE** | *** | 2.5 | | + N7 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + N8 | intrx_o | | OUTPUT | LVTTL | 4 | 12 | SLOW | NONE** | *** | | | + N9 | wb_dat_i<4> | | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + N10 | wb_dat_i<2> | | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | IFD | | | + N11 | wb_rst_i | | INPUT | LVTTL | 4 | 12* | SLOW*| NONE** | NONE | | | + N12 | | GND | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | + N13 | | VCCO | | LVTTL* | | 12* | SLOW*| NONE** | *** | | | +--------|--------------------------|-----------------|-----------|-------------|----------|----------|------|----------|-----------|---------|-----------| + +* Default value. +** This default Pullup/Pulldown value can be overridden in Bitgen. +*** The default IOB Delay is determined by how the IOB is used. + + +# +# To preserve the pinout above for future design iterations, +# simply invoke PIN2UCF from the command line or issue this command in the GUI. +# For Foundation ISE/Project Navigator - Run the process "Implement Design" -> "Place-and-Route" -> "Back-annotate Pin Locations" +# For Design Manager - In the Design menu select "Lock Pins... +# The location constraints above will be written into your specified UCF file. (The constraints +# listed below are in PCF format and cannot be directly used in the UCF file). +# +COMP "br_clk_i" LOCATE = SITE "M7" ; +COMP "intrx_o" LOCATE = SITE "N8" ; +COMP "inttx_o" LOCATE = SITE "K6" ; +COMP "rxd_pad_i" LOCATE = SITE "C6" ; +COMP "txd_pad_o" LOCATE = SITE "L8" ; +COMP "wb_ack_o" LOCATE = SITE "M8" ; +COMP "wb_adr_i<0>" LOCATE = SITE "K4" ; +COMP "wb_adr_i<1>" LOCATE = SITE "H4" ; +COMP "wb_clk_i" LOCATE = SITE "K7" ; +COMP "wb_dat_i<0>" LOCATE = SITE "C8" ; +COMP "wb_dat_i<1>" LOCATE = SITE "D8" ; +COMP "wb_dat_i<2>" LOCATE = SITE "N10" ; +COMP "wb_dat_i<3>" LOCATE = SITE "K9" ; +COMP "wb_dat_i<4>" LOCATE = SITE "N9" ; +COMP "wb_dat_i<5>" LOCATE = SITE "K8" ; +COMP "wb_dat_i<6>" LOCATE = SITE "D9" ; +COMP "wb_dat_i<7>" LOCATE = SITE "C9" ; +COMP "wb_dat_o<0>" LOCATE = SITE "L6" ; +COMP "wb_dat_o<1>" LOCATE = SITE "H3" ; +COMP "wb_dat_o<2>" LOCATE = SITE "J2" ; +COMP "wb_dat_o<3>" LOCATE = SITE "K2" ; +COMP "wb_dat_o<4>" LOCATE = SITE "H2" ; +COMP "wb_dat_o<5>" LOCATE = SITE "K1" ; +COMP "wb_dat_o<6>" LOCATE = SITE "J3" ; +COMP "wb_dat_o<7>" LOCATE = SITE "L4" ; +COMP "wb_rst_i" LOCATE = SITE "N11" ; +COMP "wb_stb_i" LOCATE = SITE "C11" ; +COMP "wb_we_i" LOCATE = SITE "M6" ; +# Index: branches/avendor/impl/Xilinx_xc2s15/xilinx.jid =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/xilinx.jid (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/xilinx.jid (revision 22) @@ -0,0 +1 @@ +. uart ..\..\rtl\vhdl\miniuart.vhd j:\rtl\vhdl\miniuart.vhd Index: branches/avendor/impl/Xilinx_xc2s15/uart.ngc =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.ngc (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.ngc (revision 22) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.0e +$31445<}hxSv}aug\gkrX8V:m7zlPd`v\mZ4XAK_M86@>2768B@@A<2D:?2j~yQ}xtljpZOX997=6>6:wbvqYup|dbxRGP33]OQQY?9:1~mzPrypbp`Ydf}U;Sl5_IO]AQVOCP8>0yl|{_uzvjlrXAVYM=87<129vewrX|qeeyQ{btde[025zasv\p}sia}U~hi30?36?pgu|V~sycg{_ckwtju;9730xujnt`>5:41<}hxSyvznhv\MZ0XD\^T:RH>a:wbvqYup|dbxRGP4^NVPZ54WZ];m6GP0^NVPZ469:1~mzPrypbp`Ydf}U;S>?;;tcqpZr}gcSDQPn123345<}hxSyvznhv\pgsanV<:m6{nru]q|phn|VCT>=QCUU];[VQ79?1~mzPrywmmqYNW>UGYYQ>7c9tfZbf|Vc7<3?;;tcqpZr}gcSylzfg>3:1=4;:8n7vk_qcg[lYNJ\L<7d`{ry]l1>^cj@n?7LON8068qdtsW}r~bdzPI^]m456?9:1~mzPrypbp`Ydf}U;S9?<;tcqpZr}gcSylzfg]4b>sfz}Utx`ft^L;741<}hxSvznhv\MZ46WE__S<>>7:wbvqYup|dbxRGP23]OQQY418=0yl|{_uzvjlrXAVsfz}Utx`ft^K\[k6780l0{oQkau]l[2YHJ\Lo7zlPaep\m97=87;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@=0O_KNTDF27>sfz}Uyto{e^ampZ6X=8:0yl|{_szvjlrXF82286HIF3`8sgYci}Ud090>0:wbvqYup|dbxR@>9430?pgu|Vxs~lzj_blw[5Y1<2D:?:64BTQ\MK@H9>1~mzPtywmmqYNW@D]Saandr33?pgu|Vxsycg{_O07141<}hxSvznhv\MZ4?WE__S<9>3:wbvqYup|dbxR|}ef>3:45<}hxSv}aug\gkrX8V=m7zlPd`v\kZ7XGK_M86@>3936?7sfz}Uytx`ft^L044743|kyxRzwuokw[qtbo5;5=85zasv\p}sia}Uiey~`s=0==>rlh~j0:0;;QCQP4=Dk2CTECXPty`vw|2??6V\T038qdtsW}r~bdzPtcweh4><}hxSyvznhv\w|hddfkoSn?n119vewrXzqeeyQA25522>sfz}Uytx`ft^K\4ZJR\V;<==5zasv\v}sia}UE>8sfz}Utx`ft^K\54YK]]U>4k5xb^fbpZoX?VCIYK?4De9tfZgdcVeTCO[Ic:WPAWYQAZCI@H84LTV7[I703|kyxR|wuokw[LY6>VF^XR?0578J7?5::90ER{nru]w|wgsmViexRC|uc]\j5678VGscQ]erwopZ7XW[oxyaz;;O372==NWVd;<=:;;GDE34><}hxSv}aug\MZOI^VUe<=>;1:G6?]IUKP;;7xo}t^p{qkosWG;2595?9EF7?5778k1|nRjnt^m?1;713|kyxRzwuokw[LYXf9:;8R>>4:wbvqYsp|dbxRGP_o2375703|kyxR|wuokw[vgjxeohbyQ?f:ua[agsW`U:SDLZF99J[Zh789?m7xo}t^p{vdrbWG8==;5zasv\p}sia}UBS8QCUU];046<}hxSvznhv\J7079:1~mzPrywmmqYuzlm7=3?9;tcqpZr}gcSDQPn1230Z7682j~yQ}xtljpZH498>0?N?;169vewrXzqeeyQ|alroafhsW8;>7xo}t^p{qkosWkc|b}P20:8MZYi89:==6I8;MMDMFGK9:1~mzPtywmmqYszlm7>3?:;tcqpZr}gcSog{pnq?7;7?3|kyxRzwuokw[LYXf9:;8R?PF0:8qdtsW}r~bdzPI^QE5366WZ];==5zasv\v}sia}UE>;<>3:wbvqYup|dbxRjnt`m\41=I9=3:<6{nru]w|phn|VD:<:?9;tcqpZr}gcSDQPn1230Z46?2j~yQ}xtljpZOX99UGYYQ:3c9tfZbf|Vc7>3?;;tcqpZr}gcSylzfg>1:4><}hxSyvznhv\MZYi89:?S>018qdtsW{r~bdzPd`vbkZ433<;?<55xb^aooZoa3|kyxRzwuokw[K?>991~mzPtywmmqYI993:<6{nru]q|phn|VD8<4??;tcqpZt}gcSC=>4c9tfZbf|Ve7:3?6;tcqpZt}gcSDQWXM]247YT_980M?h4wc]geqYhW?UDNXH>3:wbvqYup|dbxRjnt`m\71=I9<=?7L6=0028qdtsW{r~bdzPN35353=ri{~T~u{aiu]J[6YK]]U9?<=4u`pw[w~rf`~T~kh<3<6?SEDW@;87xo}t^p{qkosWmkmbQ;7:ua[rcXao1~mzPrypbp`YI;>;>7xo}t^p{qkosW@USTAQ>9635?pgu|V~sycg{_r{mgiiflVx>7C<63530?pgu|V~sycg{_upfc9599:1~mzPrywmmqYci}kdS8??;tcqpZt}gcSvnvg9tfZbf|VeTsfz}Uytx`ft^L067d<kUomyQf<2<20>sfz}Utx`ft^vaqc`;;7n0{oQ|tc]j[LDRN890yl|{_szvjlrXlh~jcR8>0:wbvqYup|dbxR@<2505?pgu|Vxs~lzj_blw[HurjVUe<=>?_hlppZe~W8:m7zlPd`v\mZ0XAK_M=>5zasv\v}sia}Uomyo`_6f8qdtsW{rymykPO09L0>C);<;;7xo}t^v{qkosWG;98?5=A0;8qdtsW{r~bdzPI^Z[HZ77>VY\?:?6{nru]q|phn|Vxyij2<>29@HW`<kUomyQf_1]JFP@63Xl0yl|{_szqeqcXF==:<6{nru]q|phn|VD:<>0:wbvqYsp|dbxR@>2633?pgu|V~sycg{_O3061=ALJO:7_m4I^ua[agsWfU;h6ym_ecw[j:0294996{nru]q|wgsmViexRC|uc]\j5678VceyQly^67?DGENk1|nRjnt^k?0;733|kyxRzwuokw[qdrno6?2k5zasv\v}tf|lUE84h4u`pw[w~ui}oTB8:>;Ra8MZqeWmkSbQ>4:DEB@71310DYY^ZT;ua[agsWf6<6=0;;GFSA46<}hxSvznhv\J64?:<1~mzPrypbp`Ydf}UFxlP_o2345Ynfz~TotQ:4:DF114<:O8>7xo}t^p{vdrbWjdS@}zb^]m4567W`dxxRmv_733?pgu|Vxsycg{_O0;0f=NW~hThlzPo^0g?rdXlh~Te1950?35?pgu|V~sycg{_H]7[ISSW>>>7C<646d8qdtsW{rymykPN476?K4>=;l0yl|{_szqeqcXF<<:<6{nru]w|phn|VD:?8k4tyf\tdbXgVEIYKm4I^ua[agsWfU8==5zasv\v}sia}UE==8=3:wbvqYup|dbxRCnde]\j5660VceyQcuu0\44><}hxSyvznhv\w|hddfkoSn?|249vewrXzqxjxhQlnu]NwpdXWg:;<=Qfnrv\g|Y0=2D8<=>>0:wbvqYup|dbxR@>1030?pgu|Vxsycg{_spfc929991~mzPrywmmqYI;:<:<6{nru]q|phn|VD94;??;tcqpZt}gcSC=;1g9tfZbf|VeT9RAMUGa8MZqeWmkSbQ;249vewrXzqxjxhQlnu]NwpdXWg:;<=Qfnrv\g|Y?n2j~yQ}xscwaZH2?8<0yl|{_szvjlrXAV4:wbvqYsp|dbxRzmugd?1;`<}hxSv}aug\J0?23G82:OX:VF^XR<>159vewrXzqeeyQmiurlwZ623D_SJ8<<;tcqpZr}gcS@okd^]m4575W`dxxRbzt3]3a>qeWjf`SdQMUGESg>OXkUomyQ`_637?pgu|Vxsycg{_ckwtjuX9;1GE<>4u`pw[w~rf`~TBUGYYQ>1578J7?1<8:0yl|{_uzvjlrXF;::=;5zasv\v}sia}UBS?QCUU]1445<}hxSyvznhv\pwc`4?4?7LOJA078qdtsW{r~bdzPI^Z[HZ77<<1IYKI_209vewrX|qeeyQBaef\[k679;Ubb~zPcx]150=ri{~Txu{aiu]amqvh{V;:>66?b:ua[agsW`6=2<:4u`pw[q~rf`~Txo{if=4=0>@CZL897xo}t^p{qkosW@UFmijP_o2347YUmzgxR>:;mmb`d508<0yl|{_szvjlrXAVUe<=>:_133?pgu|Vxsycg{_O16043<}hxSvznhv\MZ^_DV;;:85A312:55=ri{~T~u{aiu]M566682j~yQ}xtljpZH6:<;=7xo}t^p{qkosW@UTb=>?5^321>sfz}Uytx`ft^`jpuit494>7C<67208HJ753|kyxR|wuokw[wtboV:::6{nru]q|phn|VCTSc>?04]10>ru}l;87xo}t^p{qkosW{xnk1819:v{`drf494?7BLZF008qdtsW{r~bdzPrsgd[4713|kyxR|wuokw[LYXf9:;9R=>3:wbvqYsp|dbxRz}ef>4:44<}hxSyv}aug\gkr;87o0tn<|14/`w423%?;>7xo}t^v{qkosWkc|b}P2078v`gcio1|nRjnt^m\0ZIE]O20{oQ|tc]j57=ri{~T~u{aiu]qv`aX:k1|nRjnt^k?3;733|kyxRzwuokw[qdrno6<295I89:24>sfz}Utx`ft^L21=2H5118>7C<67631?pgu|Vxsycg{_spfcZ26?2j~yQ}xtljpZOXPQFT===>5zasv\v}sia}Uy~hi37?;8p}bf|h6:295?06De?rdXlh~TeR:PICWE<>rlh~jS=;4GOF\M41<}hxSyvznhv\MZ5XD\^T==6>2:wbvqYup|dbxR|}ef]57>@CK<1E>46;5:L1=2?43@EI=?5zasv\p}tf|lUhby2>>048qdtsW{rymykPI^3\HPRX98;?7xo}t^p{qkosW@USTAQ99g9vewrX|qeeyQ{xe:8p}bf|hU:=95F_tcqpZrzh~nSR`?01123>OXAG\Tyl|{_uzqeqcXkg~T<95=01226>sfz}Uytx`ft^pqabY0=2D954?;;@:BE==spmkmR<<;GFF51=NW|kyxRzwr`vf[Zh789>?7HKJ429SV@773|kyxR|wuokw[K73<11tio{a^11?FC33O89>85A28:452=ri{~T~u{aiu]J[4?XD\^T:4?9;tcqpZt}gcSDQ<0^NVPZ0e3~hTmi|Pi=2=50=ri{~T~u{aiu]amqvh{58596@=981;?q~ci}kT855mr^aooZo13ZE^^NK=;G524>sfz}Uytx`ft^L144713|kyxR|wuokw[LY6;VF^XR8;;023442<}hxSvznhv\MZYi89:?56zwd`vb878338:;=<:4u`pw[w~rf`~TERQa013351=ri{~Txu{aiu]amqvh{V:946{nru]q|wgsmViexRC|uc]\j5678VceyQcuu0\55><|qnjxlQ:4:364120:wbvqYup|dbxR@=0308B=713|kyxR|wuokw[LY5=VF^XR7>4:wbvqYup|dbxRGP_o2354733|kyxRzwuokw[gosxfyT=55{xecweZ033E__><<4u`pw[q~rf`~Txkh_148HPR4WE837xo}t^p{vdrbWjdS@}zb^]m4567W`dxxRbzt3]2546<}hxSvznhv\J42?9=1~mzPrywmmqYNWVd;<=8>6:wbvqYsp|dbxRGP1^NVPZ769=1~mzPrywmmqYNWVd;<<<>1:wbvqYup|dbxR|}efn20>sfz}Uytx`ft^K\[k678>;?7xo}t^p{vdrbWjdS=Q?1068qdtsW}r~bdzPbhvskvY5:2IB46zwd`vb[2`<kUomyQ`_2]LFP@33E__?k5WdlOjjjtQm{ybcc?=;tcqpZr}gcSy|jg^37?G5?;<1E>479239vewrX|qeeyQBaef\[k679;Ubb~zPsto\5g=pjVnjxRa30?36?pgu|V~sycg{_H]5[ISSW?>0<=>>159vewrXzqeeyQF_^l345>6<2j~yQ{xtljpZdn|yexS>??;tcqpZr}gcSC?8959OQQ26:2j~yQ{xtljpZrumnU9==5zasv\p}sia}UE=5:>4:wbvqYup|dbxRGP_o2356453|kyxRzwuokw[HgclVUe<=?=_hlppZureV8:;6{nru]q|phn|VCT=9QCUU]2>449M6PF068qdtsW{r~bdzPI^]m45729;1~mzPtywmmqYszlmT8<:4u`pw[q~rf`~TER]I172:55=ri{~T~u{aiu]M644682j~yQ}xtljpZH6=>8m7xo}t^p{qkosW@UFmijP_o2347YJpfxT^h}zlu]2[ZTb{|f?6XLCd9avZekcVcTNXHHPg9tfZbf|VcT?RGMUG31?pgu|V~sycg{_upfcZ36<2j~yQ}xtljpZOXWg:;=;??;tcqpZt}gcSC6JF1:31?L643NDO86LM8@37?pgu|Vxsycg{_H]\j566??1GYY?PD008qdtsW}r~bdzPtsgd[326G>139vewrX|qeeyQ{rde\371<}hxSv}aug\gkrXEziSR`?012\mkusWe>R;>0:wbvqYup|dbxR@>7105?pgu|Vxs~lzj_blw[HurjVUe<=>?_hlppZureV>m7xo}t^p{qkosWG===<5zasv\p}tf|lUhbyQ>2:K1=>OX9VF^XR9>0:wbvqYup|dbxR@>6704?pgu|Vxs~lzj_blw[HurjVUe<=>?_hlppZjr|;U=56zwd`vb8185?2j~yQ}xscwaZei|VGxyoQPn1234Zoi{}Uxy`Q>0028qdtsW{r~bdzPN0517>@CZj1bbyzw_n]LFP@53@927[GJW^VZT@2<}hx=85P_YFN[QIWWYKYXDZFOO]\55=ri{~Txu{aiu]M5<05?2j~yQ}xscwaZei|VGxyoQPn1234Zoi{}Ugyy6{nru]w|phn|VCTnx}v269vewrXzqxjxhQlnu]NwpdXWg:;<=Qfnrv\hpr5W1>0>LON279vewrXzqxjxhQlnu]NwpdXWg:;<=Qfnrv\wpkX>;=0yl|{_szqeqcXkg~TA~{m_^l3456XagyS~{b_0312>sfz}Uyto{e^ampZKt}kUTb=>?0^kmwqYt}dU<><5zasv\v}sia}UFmijP_o235=Ynfz~TotQ?2:DG0>R^XL30xujPp`f\k46<}hxSyvznhv\J4??:>1~mzPrypbp`Ydf}UFxlP_o2345Ynfz~T`xz=_833?pgu|Vxsycg{_O02=46<}hxSvznhv\J743j2}iSio{_n>1:77<}hxSvznhv\IdbcWVd;<<6Pioqw[fX9o1|nRjnt^m\6ZIE]O>0B<<=1:512>sfz}Uyto{e^ampZKt}kUTb=>?0^kmwqYt}dU3>?5zasv\v}sia}UFmijP_o235=Ynfz~TxcP1348qdtsW{rymykPcov\IvseWVd;<=>Pioqw[vsjW0;04??4u`pw[w~rf`~TAljk_^l344>XagySnwP2g9vewrXzqeeyQA90d8qdtsW}r~bdzPN7427>sfz}Utx`ft^vaqc`X88:0yl|{_szvjlrXF82:=:5zasv\v}sia}UBS?7PLTV\07773|kyxR|wuokw[K70>8?0yl|{_uzvjlrXj`~{c~2?>89w|agsi5?5=;5zasv\v}tf|lUBS=QCUU]2574<}hxSvznhv\IdbcWVd;<<6Pioqw[vsjW;;>7xo}t^p{qkosW@USTAQ>6238=7=68>1bbyzw_n`8TLHXJ\YEM@K>6:wbvqYsp|dbxRGP2^NVPZ14>2F^X5??;tcqpZt}gcSC?73058qdtsW{r~bdzPI^12[ISSW<7?tS2690c5=#:>08?6s\10;90c4}T;=0?j7lm:012e7?c2;3h??4?;wV2<<<5290:6<4>10yP71<3n3hi6<=>a3;g>7?d;;0;7)?ib;5`?!2e28>h7o?ic;194?7d2on1=>o6k<0;6=44i0:7>7<72-89h7==;o30>4=3g;86:54i4796?6=,;8o6h74n0192>=n0>096=4+23f9a<=i9:0>76gm1;094?"5:m0n56`>3;68?lb>2;0;6)<=d;g:?k742:10e<>7:383>!45l3o27c?<:398m4572;0;6)<=d;g:?k742810e<;=:383>!45l3o27c?<:198m761290;66g>5183>5<5;n05>5<722e9>n4?:188k777290;66a7f;294?=h9k91>7>5$30g>a0=h:8?1>7>5$30g>a03;68?j5?2;0;6)<=d;f5?k742:10c;l52;29 74c2m<0b<=52:9l=<<5290/>?j5d79m56<632eij7<50;&16a2d:?7>4;c352?4=83;1<7>tH4`8 76a28nj7b9m:183>>{e9j086=4<:183M3e3-8;j7?6e:&273<212c:h44?:188m4cf290;66ama;294?=zj8l96>4?:283>5}O=k1/>=h518g8 45128n37d?k9;294?=n9lk1<7>5;n`b>5<722wi==h53;297?6=8rB>n6*=0g82=`=#9:<1945f1e;94?6=3`;nm7>50;9lfd<72900qo8<:283>0<729qC9o5+21d95g?<,89=6ih4i0f:>5<722c:il4?:188m4`6290;66g>f`83>5<5;|`44?5=83?1<7>tH4`8 76a28h27)?<6;18m4b>290;66g>e`83>5<f083>5<n6*=0g82=3=#9:<1=i64i0f:>5<722eim7>50;9~f4142:0;6?4?:1yK1g=#:9l1=484$015>4b?3`;o57>50;9lfd<72900qo?82;194?2=83:pD8l4$32e>4gc3-;8:7<=b:k2`<<72900e>o6n80;6=44occ94?6=3th:;l4<:187>5<7sA?i7)"6;?0950;9j5c7=83:17bln:183>>{e>8086=4<:183M3e3-8;j7?6e:&273<5:h1b=i750;29?l7bi3:1<75`b`83>5<6>4?:483>5}O=k1/>=h51c;8 451283>7d?k9;294?=n9lk1<7>5;h3e5?6=831b=ko50;29?jdf290;66smdd80>5<2290;wE;m;%03b?7e12.:?;463:k2`<<72900e>o6n80;6=44i0db>5<722eim7>50;9~f`>=;3:187>50zJ6f>"58o0:mi5+124967d:183>>iei3:1<75rbg397?6=<3:18523`8m4b>290;66g>e`83>5<n6*=0g82ea=#9:<1>?l4i0f:>5<722c:il4?:188m4`6290;66ama;294?=zj8:?6>4?:583>5}O=k1/>=h51`f8 4512;8i7d?k9;294?=n9lk1<7>5;h3e5?6=831dnl4?:188yg77l391<7:50;2xL0d<,;:m6f083>5<5;|`251<4290?6=4?{I7a?!47n3;jh6*>37816g=n9m31<7>5;h3fe?6=831b=k?50;29?jdf290;66sm12696?6=<3:1>id03:1<75rb06f>7<72=0;6=uG5c9'65`=9o20e8750;29?lb3290;66g;c;294?=hk10;6=44}c351?5=83>1<7>tH4`8 76a28=27)?9c;370>o213:1<75f16d94?6=3`>h6=4?::m`:283>1<729qC9o5+21d952?<,85<722c:;k4?:188m1e=83:17bm7:183>>{e9>21?7>54;294~N2j2.9789'53e=9=>0e8750;29?l70n3:1<75f4b83>5<5;|`23`<4290?6=4?{I7a?!47n3;<56*>6b8201=n=00;6=44i05e>5<722c?o7>50;9lg=<72900qo?77;194?2=83:pD8l4$32e>41>3-;=o7?;4:k6=?6=831b=:h50;29?l2d290;66al8;294?=zj83?6>4?:583>5}O=k1/>=h516;8 40d28>?7d;6:183>>o6?o0;6=44i5a94?6=3fi36=4?::a550zJ6f>"58o0:;45+17a95125;h34b?6=831b8n4?:188kf>=83:17pl>ac80>5<3290;wE;m;%03b?7012.::n4>459j1<<72900e<9i:183>>o3k3:1<75`c983>5<5}O=k1/>=h51b68m4b0290;66g>a`83>5<5;n`b>5<722wi>n6*=0g82g1=n9m=1<7>5;h3be?6=831bhi4?:188kgg=83:17pl>e981>5<2290;wE;m;%03b?72>2c>57>50;9j`a<72900e<9i:183>>o3k3:1<75`c983>5<i:075?l3>290;66gkd;294?=n9>l1<7>5;h6`>5<722eh47>50;9~f=e=:3:187>50zJ6f>"58o0:j55f5883>5<1<7>5;h6`>5<722eh47>50;9~f44c2;0;694?:1yK1g=#:9l1=n:4i0f4>5<722c:ml4?:188mab=83:17bln:183>>{ei:096=4>:183M3e3-8;j7?k0:m`5?6=831vn:383>7<729qC9o5+21d957e5;n`b>5<722wi>84=:181>5<7sA?i7)o?j3:1<75`b`83>5<i:0g4?l>e290;66ama;294?=zjj81>7>52;294~N2j2.9e69j1b4o4?:188kgg=83:17pl>d381>5<5290;wE;m;%03b?7b?2c3n7>50;9lfd<72900qo?94;094?4=83:pD8l4$32e>4c03`2i6=4?::mae?6=831vn7<729qC9o5+21d95`15;n`b>5<722win:4=:181>5<7sA?i7)o?j3:1<75`b`83>5<5}O=k1/>=h51d58m=d=83:17bln:183>>{e9l31>7>52;294~N2j2.9e69j5<722eim7>50;9~f7622;0;6?4?:1yK1g=#:9l1=h94i9`94?6=3fhj6=4?::a5`0=:3:1>7>50zJ6f>"58o0:8:5f8c83>5<5;|`2b<<529096=4?{I7a?!47n3;?;6g7b;294?=hjh0;6=44}cd3>7<72;0;6=uG5c9'65`=9==0e5l50;29?jdf290;66sm5`81>5<5290;wE;m;%03b?73?2c3n7>50;9lfd<72900qook:383>7<729qC9o5+21d95115;n`b>5<722wi8?4=:181>5<7sA?i7)o?j3:1<75`b`83>5<i:064?l>e290;66ama;294?=zj8kh6?4?:383>5}O=k1/>=h51558m=d=83:17bln:183>>{e9=l1>7>52;294~N2j2.9469j5<722eim7>50;9~f7>50zJ6f>"58o0:8:5f8c83>5<5;|`05?4=8381<7>tH4`8 76a28><7d6m:183>>iei3:1<75rb0;1>7<72<0;6=uG5c9'65`=l:1b944?:188mf0=83:17d?8f;294?=n5<7sA?i7)290;66gl6;294?=n9>l1<7>5;h6`>5<722eh47>50;9~f4g>2;0;684?:1yK1g=#:9l1h>5f5883>5<5;h34b?6=831b8n4?:188kf>=83:17pl>b681>5<2290;wE;m;%03b?b43`?26=4?::k`2?6=831b=:h50;29?l2d290;66al8;294?=zj8im6>4?:483>5}O=k1/>=h51c;8 4512>=0e>o6mh0;6=44i0d2>5<722c:jl4?:188kgg=83:17pll0;194?5=83:pD8l4$32e>4?b3-;8:7<>d:k2`<<72900e>iei3:1<75rb4597?6==3:1851d68m4b>290;66g>e`83>5<5<2290;wE;m;%03b?7e12.:?;4>769j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188ygb02;0;694?:1yK1g=#:9l1=n:4i0f4>5<722c:ml4?:188mab=83:17bln:183>>{e9:k1?7>55;294~N2j2.9b89'560=>=1b=i750;29?l7bi3:1<75f1g394?6=3`;mm7>50;9lfd<72900qo8::283>6<729qC9o5+21d9585dg9j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188yg7an391<7<50;2xL0d<,;:m6<79;%302?7c02c:h44?:188kgg=83:17pl=2d80>5<2290;wE;m;%03b?7e12.:?;4>7c9j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188yg71?391<7;50;2xL0d<,;:m6f083>5<n6*=0g82f<=#9:<15k5f1e;94?6=3`;nm7>50;9j5c7=83:17d?ia;294?=hjh0;6=44}c51>6<72<0;6=uG5c9'65`=9k30(<=9:818m4b>290;66g>e`83>5<d580>5<2290;wE;m;%03b?7e12.:?;4>dc9j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188yg478391<7;50;2xL0d<,;:m6:183>>o6nh0;6=44occ94?6=3th:hn4<:186>5<7sA?i7)"6;?0:ho5f1e;94?6=3`;nm7>50;9j5c7=83:17d?ia;294?=hjh0;6=44}cd0>6<72:0;6=uG5c9'65`=9mn0(<=9:0f;?l7c13:1<75`b`83>5<:6=4?::a51b=;3:1>7>50zJ6f>"58o09>k5+12495`50<729qC9o5+21d95g?<,89=6?64i0f:>5<722c:il4?:188m4`6290;66g>f`83>5<5;|`:4?5=83?1<7>tH4`8 76a2290;66g>e`83>5<:183>>{e9l086=4;:183M3e3-8;j7?nd:&273<6=o1b=i750;29?l7bi3:1<75f1g394?6=3fhj6=4?::a6<<429086=4?{I7a?!47n3;2i6*>3782a6=n9m31<7>5;h3fe?6=831dnl4?:188ygd>2;0;694?:1yK1g=#:9l1=k64i4;94?6=3`n?6=4?::k7g?6=831do54?:188ygg02:0;684?:1yK1g=#:9l1=o74$015>32:183>>o6nh0;6=44occ94?6=3th9<:4<:180>5<7sA?i7)"6;?0=:6g>d883>5<j53;296?6=8rB>n6*=0g82=3=#9:<1=i64i0f:>5<722eim7>50;9~f42=;3:197>50zJ6f>"58o0:n45+1249521:183>>o6nh0;6=44occ94?6=3th:nn4<:186>5<7sA?i7)"6;?0:ho5f1e;94?6=3`;nm7>50;9j5c7=83:17d?ia;294?=hjh0;6=44}c3`g?5=83>1<7>tH4`8 76a28ko7)?<6;3;e>o6l00;6=44i0gb>5<722c:j<4?:188kgg=83:17pl=0980>5<5290;wE;m;%03b?7>>2.:?;4>d99j5a?=83:17bln:183>>{e=8096=4;:183M3e3-8;j7?99:k6=?6=831bo;4?:188m1e=83:17bm7:183>>{en=096=4;:183M3e3-8;j7?99:k6=?6=831bo;4?:188m1e=83:17bm7:183>>{ei1096=4;:183M3e3-8;j7?i8:k6=?6=831bh94?:188m1e=83:17bm7:183>>{e:;;1>7>54;294~N2j2.9789j1<<72900e<9i:183>>o3k3:1<75`c983>5<i:05:?l3>290;66g>7g83>5<5;na;>5<722wi>>=52;290?6=8rB>n6*=0g823<=n=00;6=44i05e>5<722c?o7>50;9lg=<72900qo;52;290?6=8rB>n6*=0g823<=n=00;6=44i05e>5<722c?o7>50;9lg=<72900qoj52;290?6=8rB>n6*=0g823<=n=00;6=44i05e>5<722c?o7>50;9lg=<72900qo?::383>1<729qC9o5+21d952?5;h34b?6=831b8n4?:188kf>=83:17pl>f;094?2=83:pD8l4$32e>41>3`?26=4?::k23c<72900e9m50;29?je?290;66sm2c81>5<3290;wE;m;%03b?7012c>57>50;9j52`=83:17d:l:183>>id03:1<75rb4296?6==3:157>50;9jg3<72900e<9i:183>>o3k3:1<75`c983>5<i:05:?l3>290;66g>7g83>5<5;na;>5<722wi=lh52;290?6=8rB>n6*=0g823<=n=00;6=44i05e>5<722c?o7>50;9lg=<72900qo?ma;094?2=83:pD8l4$32e>41>3`?26=4?::k23c<72900e9m50;29?je?290;66sm1b496?6=<3:11<7>tH4`8 76a28=27d;6:183>>o6?o0;6=44i5a94?6=3fi36=4?::a5a5=:3:187>50zJ6f>"58o0:;45f5883>5<5<722c:;k4?:188m1e=83:17bm7:183>>{e9lh1>7>54;294~N2j2.9789j1<<72900e<9i:183>>o3k3:1<75`c983>5<i:d38m0?=83:17d?8c;294?=n9>l1<7>5;h6`>5<722eh47>50;9~fcc=:3:187>50zJ6f>"58o0:o95f1e594?6=3`;jm7>50;9j`a<72900coo50;29?xd6jm096=4<:183M3e3-8;j788;h3be?6=831b=<850;29?jdf290;66sm1b596?6=;3:14?:383>5}O=k1/>=h51848 45128n37d?k9;294?=hjh0;6=44}c32b?4=83>1<7>tH4`8 76a28i?7d?k7;294?=n9hk1<7>5;hfg>5<722eim7>50;9~f10=;3:1>7>50zJ6f>"58o09>k5+12495`54?13-;8:7?k8:k2`<<72900coo50;29?xd6:;096=4;:183M3e3-8;j7?l4:k2`2<72900e>ocl3:1<75`b`83>5<7<50;194?6|@i:758m4gf290;66g>1783>5<5;|`15d<529086=4?{I7a?!47n3<<7d?na;294?=n98<1<7>5;n`b>5<722wi=<>52;291?6=8rB>n6*=0g8g7>o213:1<75fc783>5<57>50;9jg3<72900e<9i:183>>o3k3:1<75`c983>5<i:e18m0?=83:17dm9:183>>o6?o0;6=44i5a94?6=3fi36=4?::a<7<5290?6=4?{I7a?!47n3;<56g:9;294?=n9>l1<7>5;h6`>5<722eh47>50;9~f=b=:3:187>50zJ6f>"58o0:;45f5883>5<50;9j0f<72900cn650;29?xd>l381<7:50;2xL0d<,;:m6<96;h7:>5<722c:;k4?:188m1e=83:17bm7:183>>{ei=096=4;:183M3e3-8;j7?89:k6=?6=831b=:h50;29?l2d290;66al8;294?=zjhh1>7>54;294~N2j2.9789j1<<72900e<9i:183>>o3k3:1<75`c983>5<5}O=k1/>=h516;8m0?=83:17d?8f;294?=ni:05:?l3>290;66g>7g83>5<5;na;>5<722wi9>4<:180>5<7sA?i7)"6;?0:i>5f1e;94?6=3`;nm7>50;9lfd<72900qo69:283>0<729qC9o5+21d95g?<,89=650zJ6f>"58o0:5h5+124964b50;9lfd<72900qo?7c;194?5=83:pD8l4$32e>4?b3-;8:7<>d:k2`<<72900e>iei3:1<75rb0`f>6<72:0;6=uG5c9'65`=90o0(<=9:748m4b>290;66g>e`83>5<5;|`21f<4290>6=4?{I7a?!47n3;i56*>378231=n9m31<7>5;h3fe?6=831b=k?50;29?l7ai3:1<75`b`83>5<4?:583>5}O=k1/>=h51`f8 4512kn0e>o6mh0;6=44i0d2>5<722eim7>50;9~f7652:0;694?:1yK1g=#:9l1=lj4$015>76b3`;o57>50;9j5`g=83:17d?i1;294?=hjh0;6=44}c005?5=83?1<7>tH4`8 76a28h27)?<6;f;?l7c13:1<75f1dc94?6=3`;m=7>50;9j5cg=83:17bln:183>>{e9181?7>55;294~N2j2.9b89'560=91:0e>o6mh0;6=44i0d2>5<722c:jl4?:188kgg=83:17pl>d780>5<5290;wE;m;%03b?7>>2.:?;4>d99j5a?=83:17bln:183>>{e:9086=4;:183M3e3-8;j7?n0:&27350;9j5`g=83:17d?i1;294?=h9=;1<7>5;|`1`?5=83?1<7>tH4`8 76a28h27)?<6;3;`>o6l00;6=44i0gb>5<722c:j<4?:188m4`f290;66ama;294?=zj;o1?7>54;294~N2j2.9ae9'560=91k0e>o6mh0;6=44i0d2>5<722eim7>50;9~f2<4290>6=4?{I7a?!47n3;i56*>3785=>o6l00;6=44i0gb>5<722c:j<4?:188m4`f290;66ama;294?=zjhl1?7>53;294~N2j2.99d9'560=9l90e>o6mh0;6=44occ94?6=3thii7=50;794?6|@i:0`:?!74>390e>o6mh0;6=44i0d2>5<722c:jl4?:188kgg=83:17pl>5e80>5<2290;wE;m;%03b?7e12.:?;4>769j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188yg74m391<7;50;2xL0d<,;:m6f083>5<h53;297?6=8rB>n6*=0g82=`=#9:<1=h=4i0f:>5<722c:il4?:188kgg=83:17pl=2280>5<2290;wE;m;%03b?7e12.:?;4>6:k2`<<72900e>o6n80;6=44i0db>5<722eim7>50;9~f44?2:0;694?:1yK1g=#:9l1=lj4$015>gb:183>>iei3:1<75rb0:0>6<72:0;6=uG5c9'65`=90o0(<=9:33g?l7c13:1<75f1dc94?6=3fhj6=4?::a660=;3:197>50zJ6f>"58o0:n45+124965?:183>>o6nh0;6=44occ94?6=3thm6>4?:583>5}O=k1/>=h51`f8 451288m7d?k9;294?=n9lk1<7>5;h3e5?6=831dnl4?:188yg4a2:0;684?:1yK1g=#:9l1=o74$015>4>c3`;o57>50;9j5`g=83:17d?i1;294?=n9ok1<7>5;n`b>5<722wi>=o52;297?6=8rB>n6*=0g853>o6ih0;6=44i035>5<722eim7>50;9~fd7=;3:187>50zJ6f>"58o0:mi5+124965c:183>>iei3:1<75rb0g`>6<72;0;6=uG5c9'65`=90<0(<=9:0f;?l7c13:1<75`b`83>5<i:758m4gf290;66g>1783>5<5;|`245<4290>6=4?{I7a?!47n3;i56*>37803>o6l00;6=44i0gb>5<722c:j<4?:188m4`f290;66ama;294?=zj;;1?7>53;294~N2j2.99d9'560=9l90e>o6mh0;6=44occ94?6=3th:=7=50;794?6|@i:0`:?!74>35;h3e5?6=831b=ko50;29?jdf290;66sm10:97?6=<3:18521g8m4b>290;66g>e`83>5<6<729qC9o5+21d955<722c:il4?:188kgg=83:17pl>3980>5<3290;wE;m;%03b?7f82.:?;4>989j5a?=83:17d?ja;294?=n9o;1<7>5;n375?6=831vnh=52;290?6=8rB>n6*=0g82g1=n9m=1<7>5;h3be?6=831bhi4?:188kgg=83:17pl>4280>5<2290;wE;m;%03b?3d3-;8:7?70:k2`<<72900e>o6n80;6=44i0db>5<722e:8<4?:188yg47;381<7=50;2xL0d<,;:m6;94i0cb>5<722c:=;4?:188kgg=83:17pl>a280>5<3290;wE;m;%03b?7fl2.:?;46b:k2`<<72900e>o6n80;6=44occ94?6=3th:m:4<:180>5<7sA?i7)"6;?0:i>5f1e;94?6=3`;nm7>50;9lfd<72900qo?m6;194?2=83:pD8l4$32e>4gc3-;8:7?:f:k2`<<72900e>o6n80;6=44occ94?6=3th:o>4<:187>5<7sA?i7)"6;?0n7d?k9;294?=n9lk1<7>5;h3e5?6=831dnl4?:188yg7>9391<7;50;2xL0d<,;:m6f083>5<0<729qC9o5+21d95g?<,89=6<;;;h3g=?6=831b=ho50;29?l7a93:1<75f1gc94?6=3fhj6=4?::a5d3=;3:1?7>50zJ6f>"58o0:5h5+124923=n9m31<7>5;h3fe?6=831dnl4?:188yg>72:0;6>4?:1yK1g=#:9l1=4k4$015>4b?3`;o57>50;9j5`g=83:17bln:183>>{e9j;1?7>54;294~N2j2.9ae9'560=9030e>o6mh0;6=44i0d2>5<722eim7>50;9~f4ef2:0;694?:1yK1g=#:9l1=lj4$015>4?>3`;o57>50;9j5`g=83:17d?i1;294?=hjh0;6=44}c3a>6<72<0;6=uG5c9'65`=9k30(<=9:040?l7c13:1<75f1dc94?6=3`;m=7>50;9j5cg=83:17bln:183>>{e9o>1?7>52;294~N2j2.9979'560=9m20e>iei3:1<75rbd496?6=<3:15<5;|`276<4290?6=4?{I7a?!47n3;jh6*>378f1>o6l00;6=44i0gb>5<722c:j<4?:188kgg=83:17pl>f781>5<4290;wE;m;%03b?003`;jm7>50;9j540=83:17bln:183>>{e9;h1?7>55;294~N2j2.9b89'560=91n0e>o6mh0;6=44i0d2>5<722c:jl4?:188kgg=83:17pl>2d80>5<5290;wE;m;%03b?45n2.:?;4>e29j5a?=83:17b?;1;294?=zj8o96>4?:783>5}O=k1/>=h5a:&273<6j<1b=i750;29?l7bi3:1<75f1g394?6=3`;mm7>50;9l517=83:17bln:183>>{e:;31?7>55;294~N2j2.9b89'560=90:0e>o6mh0;6=44i0d2>5<722c:jl4?:188kgg=83:17pl>9`80>5<2290;wE;m;%03b?7e12.:?;4>8e9j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188yg?f2:0;684?:1yK1g=#:9l1=o74$015>4>c3`;o57>50;9j5`g=83:17d?i1;294?=n9ok1<7>5;n`b>5<722wi=h?53;292?6=8rB>n6*=0g8b?!74>3;3h6g>d883>5<>i6<80;6=44occ94?6=3th::k4<:187>5<7sA?i7)"6;?0n7d?k9;294?=n9lk1<7>5;h3e5?6=831dnl4?:188yg46k381<7=50;2xL0d<,;:m6;94i0cb>5<722c:=;4?:188kgg=83:17pl>4381>5<3290;wE;m;%03b?7012c>57>50;9j52`=83:17d:l:183>>id03:1<75rb06;>7<72=0;6=uG5c9'65`=9>30e8750;29?l70n3:1<75f4b83>5<5;|`214<5290?6=4?{I7a?!47n3;<56g:9;294?=n9>l1<7>5;h6`>5<722eh47>50;9~f4302;0;694?:1yK1g=#:9l1=:74i4;94?6=3`;50;9j0f<72900cn650;29?xd6=k096=4;:183M3e3-8;j7?89:k6=?6=831b=:h50;29?l2d290;66al8;294?=zj8<;6?4?:583>5}O=k1/>=h516;8m0?=83:17d?8f;294?=n5<7sA?i7)o213:1<75f16d94?6=3`>h6=4?::m`1<729qC9o5+21d952?5;h34b?6=831b8n4?:188kf>=83:17pl>a781>5<2290;wE;m;%03b?b43`?26=4?::k`2?6=831b=:h50;29?l2d290;66al8;294?=zjhi1>7>54;294~N2j2.9689j1<<72900en850;29?l2d290;66al8;294?=zj?o1>7>55;294~N2j2.9339j1<<72900ei:50;29?l70n3:1<75f4b83>5<5;|`4tH4`8 76a28997d;6:183>>oc<3:1<75f16d94?6=3`>h6=4?::m`n6*=0g82g1=n9m=1<7>5;h3be?6=831bhi4?:188kgg=83:17pl=2981>5<4290;wE;m;%03b?003`;jm7>50;9j540=83:17bln:183>>{e::81>7>53;294~N2j2.9>iei3:1<75rb031>6<72:0;6=uG5c9'65`=9mn0(<=9:0f;?l7c13:1<75`b`83>5<:6=4?::a65b=:3:187>50zJ6f>"58o0:o95f1e594?6=3`;jm7>50;9j`a<72900coo50;29?xd6ml096=4<:183M3e3-8;j788;h3be?6=831b=<850;29?jdf290;66sm1`397?6=:3:1851e:8m4b>290;66ama;294?=zj;;=6?4?:583>5}O=k1/>=h51b68m4b0290;66g>a`83>5<5;n`b>5<722wi>n6*=0g853>o6ih0;6=44i035>5<722eim7>50;9~f76d2;0;6>4?:1yK1g=#:9l1::5f1`c94?6=3`;::7>50;9lfd<72900qo>52;290?6=8rB>n6*=0g8141=n=00;6=44ief94?6=3`>h6=4?::m`52;290?6=8rB>n6*=0g82b==n=00;6=44ie694?6=3`>h6=4?::m`n6*=0g82b==n=00;6=44ie694?6=3`>h6=4?::m`n6*=0g82b==n=00;6=44ie694?6=3`>h6=4?::m`n6*=0g82b==n=00;6=44ie694?6=3`>h6=4?::m`;52;290?6=8rB>n6*=0g82b==n=00;6=44ie694?6=3`>h6=4?::m`o52;290?6=8rB>n6*=0g82b==n=00;6=44ie694?6=3`>h6=4?::m`n6*=0g8141=n=00;6=44ief94?6=3`>h6=4?::m`<:383>1<729qC9o5+21d95f2851c78m4b>290;66g>e`83>5<l086=4::183M3e3-8;j7?m9:&273<6j<1b=i750;29?l7bi3:1<75f1g394?6=3`;mm7>50;9lfd<72900qo;i:283>6<729qC9o5+21d955<2290;wE;m;%03b?7e12.:?;4>2`9j5a?=83:17d?ja;294?=n9o;1<7>5;h3ee?6=831dnl4?:188yg7f<391<7;50;2xL0d<,;:m6f083>5<>53;291?6=8rB>n6*=0g82f<=#9:<1=::4i0f:>5<722c:il4?:188m4`6290;66g>f`83>5<5;|`2f1<5290?6=4?{I7a?!47n3;:=6g>d883>5<4>c3`;o57>50;9j5`g=83:17d?i1;294?=n9ok1<7>5;n`b>5<722wi:n4=:187>5<7sA?i7)o6l00;6=44i0gb>5<722coh7>50;9lfd<72900qo?l9;194?3=83:pD8l4$32e>4d>3-;8:7<;;h3g=?6=831b=ho50;29?l7a93:1<75f1gc94?6=3fhj6=4?::a54g=:3:187>50zJ6f>"58o0:=<5f1e;94?6=3`;nm7>50;9j`a<72900coo50;29?xd0=381<7:50;2xL0d<,;:m6;h3g=?6=831b=ho50;29?lbc290;66ama;294?=zj>l1?7>55;294~N2j2.9b89'560=m=1b=i750;29?l7bi3:1<75f1g394?6=3`;mm7>50;9lfd<72900qo6n:283>1<729qC9o5+21d953?<,85<722ch:7>50;9j0f<72900cn650;29?xu6010;6=4={_3;<>;6l803n6s|1b794?6=:rT:o852248;f>{t91>1<7>52z\2<1=:9;914o5rs0`e>5<72;qU=oh4=b091<7>52z\:0>;69:03n6s|2383>5<5sW8970m8:9`8yv32290;6?uQ549>2c034;14o5rsc394?6=:rTi=63>d38;f>{tl00;6=4={_f:?871<32i7p}>0983>5<5sW;;463>1b8;f>{t9::1<7>52z\275=:j>03n6s|14094?6=:rT:9?52598;f>{t:9<1<7>52z\143=:9l314o5rs073>5<72;qU=8>4=c1952z\b1>;58<03n6s|2783>5<5sW8=70?j6;`b?xu5:j0;6=4={_01g>;6n00im6s|20294?6=:rT9==52f18ae>{t0o0;6=4={_:e?83f2kk0q~?m3;294?4|V8h870ok:cc8yv7b83:1<75;294?4|V;;>70<>7;`b?xu?290;6?uQ8:?2ef52z\:=>;>k3hj7p}mf;294?4|Vkl01>?5b`9~w15=83:14v3>6784f>;59l0:h:5213f95a1<58;m6a`9>640=9m=014b>34h86oo4=4c9i:0gb?81f28oj70?j9;`b?87>:3i=70?6c;a5?87f13i=70?m7;a5?84>28oj70;?:b48941728=h70?>0;a5?876=3i=70?>d;a5?875<3i=70?7e;3fe>;6i>0:il521b195c7<58k=6n84=0c7>4`f3ty?6=4?:3y>5ff382`<=:>:0:h4527182b4=:l<0:il52dd82ad=:m10:h452f082`<=:nm0:h45211695a?<58:o6>4ma:pg7<7290hw0?i2;3fe>;1;3;nm6380;3fe>;c=3;o563ke;3g=>;b03;nm63i1;3fe>;al3;nm63>0582ad=:99n1=ho4=037>4cf34i96oo4}r6f>5<72;q6=k<5b`9>3d<6nh1v5k50;296~;68o0im6393;3ee>{t:9?1<7>54z?57?7a927<<7?ia:?4e?7a9279<84ma:p=`<72909w08<:cc8942b2m>0q~=m:183>7}:?90im63>358g0>{t>;0;6=46{<5b>gg<58<>6<9i;<345?70n27:;54>7g9>52c=9>l01<68:05e?87><3;9e823c=:9hh1=:h4}r33a?6=839p19j51e;89a3=9o;01?>8:cc8yv7a13:1<75<72;193;o563>e98`<>{t9:?1<7>52z?2367382ad=:9>k1=k?4=7395`g<58l;6n64}r`:>5<72mq6=:<51g38941f28n270?j8;34b>;6n90:;k52b88`<>;6990:;k52107952`<58;o6<9i;<310?70n27m64>e`9>5d0=9>l0q~<>8;294?5|58=96oo4=0g;>ab<58l;6ij4}r094?6=:r7:;l4ma:?;g?b33ty3?7>50;0x937=jh16=k>5589~w=4=83:1>v3k5;3ee>;?:3i37p}nd;294?4|5m?1nl52ae8;f>{tij0;6=4={4`634kh6n64}r:g>5<72;q6hh4>f`9>v3j8;3e5>;>:3i37p}=1683>5<5s4o36oo4=334>=db4<6n8165i4l8:p5de=83:1>v3i1;`b?87fk32i7p}n4;294?4|5on1=k?4=`69g==z{8>m6=4?:3y>ba;fj3i37p}ic;294?4|58:?6oo4=ga91<7>52z?24a<6n816n94l8:p=f<72909w0??d;`b?8?d21h0q~l7:183>7}:98>1=k?4=c:9g==z{:;1<7>52z?2515<72mq6=>:5589>51c==0164n4:9:?b7?e634n<60?<5<;19452a986=>;69o0:h:52ab86=>;58m0:h:521dg95dg<51k1945rs030>5<72kq6=>:54b9>51c=527=52c=5<2=5dd=<0>563l7;`b?xu6:4;893`=jh1v<8=:183>7}:9>;1o55222291<=z{80;6=4={<3434;1nl5rs0:e>5<72;q6=:65c99>665==01v7}:9>o194521e09fd=z{8i36=4?:3y>52c=k11697;6;|q221<72909w0?77;7:?871<3hj7p}>f483>5<5s4;3;7m7;5<2==016=56s|b683>5<5s4;2h7;6;<`4>gg57}:9hh1o5522c86=>{t;h0;6=4;{<`5>4b034;2?7?k9:?0e?e?34;=i7?i1:p555=83:1?v3m6;3be>;6n?0:ml521119fd=z{8386=4?:2y>f34ma:?2b3<69?1vo850;296~;e>3hj70{t:8<1<7>53z?15`<6ih16>?651`c897712kk0q~96:183>6}::8o1hi52788ae>;5:10:=;5rs33f>5<72;q6>664=9hk0q~?k1;294?5ds4;n47:l;<3e4?2d342h69m4=0f2>gg<583969m4=0;`>1e<58k269m4=0`4>1e<5k318n52f587g>;f03>h70<=1;6`?84483>h70<<3;6`?83=1e<58l18n522c87g>;283>h70?6f;6`?87fn3>h70?ma;6`?87d>3>h70?lb;6`?87c;3>h70?ke;6`?87bj3>h70?80;6`?87683>h70?>5;6`?876l3>h70?=4;6`?8>52=i015j54b9>=7<3k272h7:l;1e<5hh18n52b587g>;e03>h70?;2;6`?87303>h70?:1;6`?872?3>h70?:b;6`?87183>h70?98;6`?870=3>h70?n6;6`?80b2=i01:654b9>4?2d34;;69m4=0090f=:9m0?o63=a;6`?8522=i01>o54b9>04<3k2wx4n4?:18:8>d2j201<7=:05e?87>k3;a8823c=:9k=1=:h4=0a`>4cf34;<<7?8f:?5`?7a9278<7?i1:p574=83:1?v3>2e82ed=:9kn1=lo4=001>gg50;1x944c2mn01<:k:062?87el3;::6s|13f94?6=:r7:>i4ma:?2g2<6ih1v?;50;29=~;5=3hj70?lf;3ee>;68<0:h45214a95cg<582964>e`9>=d<6nh16=;h51e;8yv>f290;6?u21d49:3?2708l:cc8yv45=3:1<7lt=0;1>f><588>6d89>544=9m301>>51dc894d32mn015483>5<5s4;2o7;6;<56>gg5728oj70?=e;3g=>;6i=0:j<521`82`<=:9j31=ko4}rf1>5<72;q6=l75589><0;5:l0:h45215f95a?<51?1=k?4=8295c7<5;l1=ho4=9295a?<58k?64cf34;h57?i1:p5`3=83:1>v3>b686=>;>83;?=6s|7d83>5k4>f`9>5d2=9m301;60;0:h45215195c7<58k864>d89>5`4=jh1v4?50;2954}:9jl1=ho4=4195`g<51<1=k?4=0:`>4cf34?o6;<005?7a9279<7?ja:?bb?7bi27ii7?i1:?26=<6n816j7?k9:?2f?7bi27:>o4>d89>=d<6l016=;h51g3892>=k116=h7?ja:?174<6mh16>=4>d89>ec<6l016nh4>f`9>57>=9lk01k4>f09>5g<6l016=>=51g38944e28lj70?6a;3e5>;6>o0:il526d8`<>{t9:31<7>52z?2gc51e;8901=9lk015751dc89=3=9m301<:51dc894dc2kk0q~ki:183>0}:k90:il525682`<=:000:h4521582bd=:9k81nl5rs021>5<72;q6o=4ma:?4b?7bi2wx=<<50;290~;2?3;m=6379;3e5>;6<3;m=63>138ae>{t99i1<7>54z?63?7ai27357?ia:?20?7c127:o:4ma:p54d=83:1>v3:7;`b?81a28l:7p}?:183>1}:9h21=i74=e595a1<590h463=2682`<=z{8k36=4?:2y>5d>=jh16h:4kd:?2a`<69?1v<69:183>7}:000im6385;3fe>{tl>0;6=4<{gg<5l91=lo4=320>4gf3ty:484?:1823~;6;h0:h4527882`<=::9:1=k?4=`595cg<58;o6n64=9495a?<58:>6f09>654=9lk01?j51dc897c=9o;01<;k:0db?845;3;nm63=3782b4=:i80:il5211295c7<58;1=i74=03;>4`634;n>7?k9:?16<<6l0165l4>f09>5`7=9m30q~?4>d89>e2<6mh16=<>5c99>5gc=9lk01?>=:0d2?84c28l:70;5::0:jl5219195`g<5;9=64`634;;<7?ia:?25?7bi27:=54>e`9>56>=9m3019`82ad=:9l;1=ho4}r3`4?6=83;8w0?;5890:jl52a682`<=:9;>1o55214a95`g<5;:964b>34=1=ho4=cg95a?<58836o4>e`9>5`4=9o;01?<6:0db?8?f28oj70?j1;3ee>;5;;0:=;5rs07f>5<728>p1<=n:0db?84783;nm63n7;3e5>;3>3;o563>148`<>;2l3;o563=d;3ee>;028n270lj:0gb?85a28n270?73;3g=>;f93;o563>0182`<=::80:il521082bd=:9;h1=k?4=0g1>4`f348957?i1:?2=d<6l016=h?51g38yvgb290;6?u212c9fd=:9ki1=ho4}rc5>5<72:q6:84>d89>671=jh169k4>d89~wf?=83:1?v395;3fe>;6>l0im63:f;3fe>{t?80;6=4={<46>gg<5k31h95rs0df>5<72:q6=?;5b`9>5fe=9o;01;j51gc8yv7c290;69u21gd95a?<5o?1=i94=0f9g==::;=1=ko4}r3eb?6=839p1=m51048yv22290;6?u223g95`g<58<<6oo4}r;6>5<72;q6>?k51g389`g=jh1v5:50;296~;5:l0:jl52738ae>{t1?0;6=4={<01a?df34;n67;294?4|58<<650;0x9`g=9o;015<72;q6;?4>f09>5f0=k11v:j50;296~;0:3;mm63>b`8`<>{t9=?1<7>53z?2`1<6l016n94:9:?22={t:8l1<7>53z?2`1<6n816=im51g38905=jh1vno50;292~;6l=0:jl521ea95cg<58hh6e`9>53`=jh1vk<50;296~;6l=0im63>6986=>{t9?;1<7>53z?2`f<6l016n54:9:?230{t98o1<7>52z?2`f528lj7p}>3c83>5<5s4l86<:>;<03`?bc3ty:no4?:1818?728n270?;:cc8yvdd290;6?u21d82b4=:9k>1nl5rsb`94?6=:r7:i7ln;<344?3>3tym87>50;7x97?=9m301?>8:0f:?85c28n270h;:b:893b=9m30q~<=0;294??|5;31nl52233952`<5;9;6<9i;<007?70n27>6<9i;5<72?q6>=951dc894ed28n270;?:b:893b=9lk01>>51e;894e>28n27p}=0083>5<5s49o6oo4=`:9`1=z{j?1<7>53z?2ff<6l0165i4:9:?2120;6=4={<3ag?df34;>;7;6;|q154<72909w0?lc;`b?8372<30q~1}::921=i74=gg95a1<5;k1o55217g95a?50;1x976?2kk01kk5de9>64g=98<0q~o7:183>6}:=80h:63i4;a5?8g?2j20q~;>:183>7}:=80h463i4;7:?xu5;3:1<7f><583m6874}r75>5<72;q6>>>5c99>5d`==01v5650;296~;5;:0h463>b`86=>{tj;0;6=4={<79g==:9j<1945rsec94?6=:r7o6n64=0aa>0?50;0x943=k116=i=5589~w456290;6?u21g8`<>;6ll0>56s|14194?6=:r79n7m7;<3ff?3>3ty:>:4?:18183728=m708k:cc8yv4d290;64u218d952`<58km6<9i;<3ae?70n27:o;4>7g9>5fd=9>l01ec823c=:;90im6s|13;94?6=:r7:hh4l8:?2e?7a92wx=9o50;296~;6mk0h463=f;3e5>{tn<0;6=4<{4gf348:m7?na:?e1?df3tymi7>50;1x9cc=jh16>f><58:86<<50;297~;59;0im63=1b8253=:9991hi5rs0`2>5<72;q6=544=9=;0q~?>f;294?5|58;m6oo4=001>4gf34;i>7?na:p03<72908w0:9:062?846>3no70<>b;322>{t9;o1<7>53z?267179>57c=9=;0q~kl:183>7}::8k1nl522`86=>{t=;0;6=4={<324?3>34;847?;1:p662=83:1>v3>1486=>;6;l0im6s|19`94?6=:r7:=i4:9:?206<6<81v<78:183>7}:9;>1945215;9fd=z{=:1<7>53z?;6?3>34;2=7?i1:?207;>:3;;f<3;;e<3;;6k:0im6s|7583>5<4s42o6874=0;a>4`634;?47m7;|qb6?6=839p14<5589>50b=9m301<;>:b:8yv`?290;6>u2a586=>;5::0:h45214`9g==z{88:6=4?:2y>eg<21279?;4>d89>536=k11v?<=:183>7}:0?0:jl5223:9fd=z{;9>6=4?:3y><34>d89~w3>=83:1>v3>048ae>;6<:0:jl5rs07;>5<72;q6=9751e;897552kk0q~kk:183>7}:9=31=ho4=0`9fd=z{89h6=4?:3y>51?=9o;01l?5b`9~w45c290;6?u215;95cg<58836oo4}r3g1?6=838p1<6l:cc892<6nh1v7}:9ko1nl527;3e5>{t91;1<7>52z?21fd89~w774290;6?u25e8ae>;6;l0:jl5rs337>5<72;q6>=<5b`9>56c=9o;0q~h9:183>7}:::;1=i74=0g1>4263tym;7>50;1x975628lj70?m6;3e5>;6m80im6s|6;294?4|5;9:6oo4=01;>4cf3tyj57>50;0x94>528l:70gg<58936;|q22d<72909w0?k6;`b?80d28oj7p}<6;294?5|5;:1=k?4=060>4cf348957ln;|q60?6=838p1?j5b`9>54`63488:7?ia:?25=<6l016=?l5b`9~w25=83:1>v3=e;`b?87>j3;mm6s|11494?6=:r7<6oo4=01f>4cf3ty:>=4?:1818db2kk01<;k:0gb?xud<3:1<7gg<58k>6;59k0im6s|4683>5<5s49m6oo4=0a2>4b>3ty2;7>50;0x97442kk01:0d2?xu>03:1<7gg<58ij6a282`<=z{86ce`9~w`5=83:1?v3=0`82ed=:m:0im63j6;3be>{t9o>1<7>53z?14d<69?16=k:5b`9>a3==51048yv7f93:1<747134;j=7ln;|q`g?6=838p1?>m:cc8917==01v<9k:183>7}:99:1nl521c82b4=z{8k96=4?:3y>64v3>1;`b?87>93;o56s|1df94?6=:r7:=54ma:?2=4<6nh1v?<;:183>6}:91o1nl52ab8`2>;?i3i=7p}>0683>5<5s48;?7ln;<33>0?5d5=jh16:h4k4:?4;6<10:;k52143952`<58?<6<9i;<36f?70n27::=4>7g9>53>=9>l01<9::05e?80b28=m7097:05e?xu6i?0;6=4;{<3a2?7c127:m;4l8:?bg?2d342j69m4}rda>5<72;q6=o85b`9>5d0==01v7}:9j91=i74=0g2>4263ty:j:4?:18187>93hj70?;2;7:?xu?93:1<7gg<58>36874}rg4>5<72;q6=l;51dc894?f2kk0q~hn:183>7}:9h?1nl5214391<=z{?:1<7>52z?;4?df34=m6:0gb?87di3;nm63>1`8ae>{t??0;6=4={<3`5?df34;>n7;6;|qa1?6=838p11}:9o>1=i74=d495a1<5881o55223595c7a3gg<5:k1945rs02a>5<72;q6=4o51gc89n:183>7}:9lo1nl520;7:?xu393:1<7=t=0c2>4b>34>:6n64=04f>4`f3tyni7>50;0x976d2kk01m7>50;0x94d328n270?n:cc8yv7213:1<70;394?7|@1;394?7|@2;394?7|@3;394?7|@4;394?7|@5;394?7|@6;394?7|@7;394?7|@8;394?7|@9;394?7|@a;394?7|@b;394?7|@c;394?7|@d;394?7|@e;394?7|@f;394?7|@
branches/avendor/impl/Xilinx_xc2s15/uart.ngd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xc2s15/uart.pcf =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.pcf (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.pcf (revision 22) @@ -0,0 +1,3 @@ +SCHEMATIC START ; +// created by map version E.35 on Thu Jan 09 18:11:06 2003 +SCHEMATIC END ; Index: branches/avendor/impl/Xilinx_xc2s15/par.opt =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/par.opt (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/par.opt (revision 22) @@ -0,0 +1,8 @@ +-ol 2 +-xe 0 +-t 1 +-c 0 +par_temp.ncd +-w +uart.ncd +uart.pcf Index: branches/avendor/impl/Xilinx_xc2s15/__ngdbuild.rsp =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/__ngdbuild.rsp (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/__ngdbuild.rsp (revision 22) @@ -0,0 +1,6 @@ +-dd j:/impl/_ngo +-nt timestamp + +-p xc2s15-cs144-6 +uart.ngc +uart.ngd Index: branches/avendor/impl/Xilinx_xc2s15/uart.nc1 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xc2s15/uart.nc1 =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.nc1 (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.nc1 (revision 22)
branches/avendor/impl/Xilinx_xc2s15/uart.nc1 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xc2s15/uart.ngm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xc2s15/uart.ngm =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.ngm (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.ngm (revision 22)
branches/avendor/impl/Xilinx_xc2s15/uart.ngm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xc2s15/_map.log =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/_map.log (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/_map.log (revision 22) @@ -0,0 +1,4 @@ +Mapping Module uart . . . +MAP command line: +"map -p xc2s15-cs144-6 -cm area -k 4 -c 100 -tx off uart.ngd " +Mapping Module uart: DONE Index: branches/avendor/impl/Xilinx_xc2s15/uart.par =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.par (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.par (revision 22) @@ -0,0 +1,108 @@ +Release 4.2i - Par E.35 +Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved. + + +Thu Jan 09 18:11:08 2003 + +par -f _par.rsp + + +Constraints file: uart.pcf + +Loading design for application par from file par_temp.ncd. + "uart" is an NCD, version 2.37, device xc2s15, package cs144, speed -6 +Loading device for application par from file '2s15.nph' in environment e:/ise. +Device speed data version: PRELIMINARY 1.23 2001-12-19. + + +Device utilization summary: + + Number of External GCLKIOBs 2 out of 4 50% + Number of External IOBs 26 out of 86 30% + Number of LOCed External IOBs 0 out of 26 0% + + Number of SLICEs 83 out of 192 43% + + Number of GCLKs 2 out of 4 50% + + + +Overall effort level (-ol): 2 (set by user) +Placer effort level (-pl): 2 (set by user) +Placer cost table entry (-t): 1 +Router effort level (-rl): 2 (set by user) +Extra effort level (-xe): 0 (set by user) + +Starting initial Placement phase. REAL time: 0 secs +Finished initial Placement phase. REAL time: 0 secs +Starting the placer. REAL time: 0 secs +Placement pass 1 .... +Placer score = 7875 +Placement pass 2 ............... +Placer score = 7375 +Optimizing ... +Placer score = 6385 +Placer score = 5890 +Placer completed in real time: 0 secs + +Dumping design to file uart.ncd. + +Total REAL time to Placer completion: 0 secs +Total CPU time to Placer completion: 1 secs + +0 connection(s) routed; 522 unrouted active, 4 unrouted PWR/GND. +Starting router resource preassignment +Completed router resource preassignment. REAL time: 0 secs +Starting iterative routing. +Routing active signals. +..... +End of iteration 1 +526 successful; 0 unrouted; (0) REAL time: 0 secs +Constraints are met. +Total REAL time: 2 secs +Total CPU time: 1 secs +End of route. 526 routed (100.00%); 0 unrouted. +No errors found. +Completely routed. + +This design was run without timing constraints. It is likely that much better +circuit performance can be obtained by trying either or both of the following: + + - Enabling the Delay Based Cleanup router pass, if not already enabled + - Supplying timing constraints in the input design + + +Total REAL time to Router completion: 2 secs +Total CPU time to Router completion: 1 secs + +Generating PAR statistics. + + The Delay Summary Report + + The Score for this design is: 132 + + +The Number of signals not completely routed for this design is: 0 + + The Average Connection Delay for this design is: 1.010 ns + The Maximum Pin Delay is: 2.509 ns + The Average Connection Delay on the 10 Worst Nets is: 1.597 ns + + Listing Pin Delays by value: (ns) + + d < 1.00 < d < 2.00 < d < 3.00 < d < 4.00 < d < 5.00 d >= 5.00 + --------- --------- --------- --------- --------- --------- + 258 259 9 0 0 0 + +Dumping design to file uart.ncd. + + +All signals are completely routed. + +Total REAL time to PAR completion: 4 secs +Total CPU time to PAR completion: 1 secs + +Placement: Completed - No errors found. +Routing: Completed - No errors found. + +PAR done. Index: branches/avendor/impl/Xilinx_xc2s15/automake.err =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/automake.err (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/automake.err (revision 22) @@ -0,0 +1,11 @@ +JHDPARSE - VHDL/Verilog Parser. +ISE 4.1i Copyright(c) 1999-2001 Xilinx, Inc. All rights reserved. + +Scanning j:/rtl/vhdl/utils.vhd +Scanning j:/rtl/vhdl/utils.vhd +j:/rtl/vhdl/utils.vhd(47) library IEEE,STD; + ^ +Warning 0008: Unable to open library std. +Writing utils.jhd. + +JHDPARSE complete - 0 errors, 1 warning. Index: branches/avendor/impl/Xilinx_xc2s15/Rxunit.jhd =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/Rxunit.jhd (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/Rxunit.jhd (revision 22) @@ -0,0 +1 @@ +MODULE rxunit Index: branches/avendor/impl/Xilinx_xc2s15/__ednTOngd_exewrap.rsp =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/__ednTOngd_exewrap.rsp (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/__ednTOngd_exewrap.rsp (revision 22) @@ -0,0 +1 @@ +-tapkeep -mode pipe -command ngdbuild -f __ngdbuild.rsp Index: branches/avendor/impl/Xilinx_xc2s15/Txunit.jhd =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/Txunit.jhd (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/Txunit.jhd (revision 22) @@ -0,0 +1,2 @@ +MODULE txunit + SUBMODULE synchroniser Index: branches/avendor/impl/Xilinx_xc2s15/uart.cup =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.cup (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.cup (revision 22) @@ -0,0 +1 @@ +cleaned up XST temp files Index: branches/avendor/impl/Xilinx_xc2s15/_par.log =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/_par.log (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/_par.log (revision 22) @@ -0,0 +1,4 @@ +Place & Route Module uart . . . +PAR command line: +"par -ol 2 -xe 0 -t 1 -c 0 par_temp.ncd -w uart.ncd uart.pcf " +PAR completed successfully Index: branches/avendor/impl/Xilinx_xc2s15/Xilinx.npl =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/Xilinx.npl (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/Xilinx.npl (revision 22) @@ -0,0 +1,18 @@ +JDF E +// Created by ISE ver 1.0 +PROJECT Xilinx +DESIGN xilinx Normal +DEVKIT xc2s15-6cs144 +DEVFAM spartan2 +FLOW XST VHDL +MODULE ..\..\rtl\vhdl\Rxunit.vhd +MODSTYLE rxunit Normal +MODULE ..\..\rtl\vhdl\Txunit.vhd +MODSTYLE txunit Normal +MODULE ..\..\rtl\vhdl\miniuart.vhd +MODSTYLE uart Normal +MODULE ..\..\rtl\vhdl\utils.vhd +MODSTYLE synchroniser Normal +MODSTYLE counter Normal +[STRATEGY-LIST] +Normal=True, 1042131939 Index: branches/avendor/impl/Xilinx_xc2s15/__uart_2prj_exewrap.rsp =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/__uart_2prj_exewrap.rsp (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/__uart_2prj_exewrap.rsp (revision 22) @@ -0,0 +1 @@ +-mode pipe -tapkeep -check warn -tcl -command e:/ise/data/projnav/chipsim.tcl uart._prj uart.prj Index: branches/avendor/impl/Xilinx_xc2s15/_nc1TOncd_exewrap.rsp =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/_nc1TOncd_exewrap.rsp (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/_nc1TOncd_exewrap.rsp (revision 22) @@ -0,0 +1 @@ +-tapkeep -mode pipe -tcl -command e:/ise/data/projnav/_par.tcl _par.rsp uart _par.log _prepar.rsp _postpar.rsp Index: branches/avendor/impl/Xilinx_xc2s15/uart_ngdbuild.nav =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart_ngdbuild.nav (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart_ngdbuild.nav (revision 22) @@ -0,0 +1,2 @@ + + Index: branches/avendor/impl/Xilinx_xc2s15/uart.bld =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart.bld (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart.bld (revision 22) @@ -0,0 +1,19 @@ +Release 4.2i - ngdbuild E.35 +Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved. + +Command Line: ngdbuild -dd j:/impl/_ngo -nt timestamp -p xc2s15-cs144-6 uart.ngc +uart.ngd + +Reading NGO file "J:/impl/uart.ngc" ... +Reading component libraries for design expansion... + +Checking timing specifications ... +Checking expanded design ... + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGD file "uart.ngd" ... + +Writing NGDBUILD log file "uart.bld"... Index: branches/avendor/impl/Xilinx_xc2s15/uart_map.ncd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xc2s15/uart_map.ncd =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/uart_map.ncd (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/uart_map.ncd (revision 22)
branches/avendor/impl/Xilinx_xc2s15/uart_map.ncd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xc2s15/__launchTA.tcl =================================================================== --- branches/avendor/impl/Xilinx_xc2s15/__launchTA.tcl (nonexistent) +++ branches/avendor/impl/Xilinx_xc2s15/__launchTA.tcl (revision 22) @@ -0,0 +1 @@ +execVisible timingan uart.ncd -pcf uart.pcf Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj.ini =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj.ini (revision 21) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj.ini (revision 22) @@ -1,34 +1,34 @@ -[Current] -Version=ver1 -Revision=rev1 - -[Version] -ver1= - -[time] -ver1=1042179817 - -[ver1.current] -current=rev1 - -[ver1] -rev1= - -[ver1.rev1] -family=SPARTAN -device=XCS10 -package=TQ144 -speed=-4 -state=Implemented -status=OK - -[Template] -ver1.rev1=Foundation EDIF - -[DataFiles] -Constraints=0,"ver1->rev1",1 -FloorPlaner=0,"ver1->rev1",1 -Guide=-1,"None",1 -GuideIdx=1 -FloorIdx=0 - +[Current] +Version=ver1 +Revision=rev1 + +[Version] +ver1= + +[time] +ver1=1042179817 + +[ver1.current] +current=rev1 + +[ver1] +rev1= + +[ver1.rev1] +family=SPARTAN +device=XCS10 +package=TQ144 +speed=-4 +state=Implemented +status=OK + +[Template] +ver1.rev1=Foundation EDIF + +[DataFiles] +Constraints=0,"ver1->rev1",1 +FloorPlaner=0,"ver1->rev1",1 +Guide=-1,"None",1 +GuideIdx=1 +FloorIdx=0 + Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/xilinx.xpj =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/xilinx.xpj =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/xilinx.xpj (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/xilinx.xpj (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/xilinx.xpj Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/version.vbf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/version.vbf =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/version.vbf (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/version.vbf (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/version.vbf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/netlist.lst =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/netlist.lst (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/netlist.lst (revision 22) @@ -0,0 +1,2 @@ +c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf 1042147416 +OK Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bgn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bgn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bgn (revision 22) @@ -0,0 +1,18 @@ +Release 3.1i - Bitgen D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +Loading device database for application Bitgen from file "xilinx.ncd". + "UART" is an NCD, version 2.32, device xcs10, package tq144, speed -4 +Loading device for application Bitgen from file '4005e.nph' in environment +C:/Fndtn. +Opened constraints file xilinx.pcf. + +Thu Jan 09 22:24:06 2003 + +bitgen -l -w -g ConfigRate:SLOW -g TdoPin:PULLNONE -g DonePin:PULLUP -g CRC:enable -g StartUpClk:CCLK -g SyncToDone:no -g DoneActive:C1 -g OutputsActive:C2 -g GSRInactive:C3 -g ReadClk:CCLK -g ReadCapture:enable -g ReadAbort:disable xilinx.ncd + +Running DRC. +DRC detected 0 errors and 0 warnings. +Saving ll file in "xilinx.ll". +Creating bit map... +Saving bit stream in "xilinx.bit". Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ncd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ncd =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ncd (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ncd (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ncd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ll =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ll (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ll (revision 22) @@ -0,0 +1,1154 @@ +Revision 3 +; Created by bitgen D.19 at Thu Jan 09 22:24:06 2003 +; Bit lines have the following form: +; +; may be zero or more = pairs +; Block= specifies the latch associated with this memory cell. +; +; Net= specifies the user net associated with this +; memory cell. +; +; COMPARE=[YES | NO] specifies whether or not it is appropriate +; to compare this bit position between a +; "program" and a "readback" bitstream. +; If not present the default is NO. +; +; Ram=: This is used in cases where a CLB function +; Rom=: generator is used as RAM (or ROM). +; will be either 'F', 'G', or 'M', indicating +; that it is part of a single F or G function +; generator used as RAM, or as a single RAM +; (or ROM) built from both F and G. is +; a decimal number. +; +; Info lines have the following form: +; Info = specifies a bit associated with the LCA +; configuration options, and the value of +; that bit. The names of these bits may have +; special meaning to software reading the .ll file. +; +Bit 21 1 140 Block=P76 Latch=I1 +Bit 31 1 130 Block=P78 Latch=I1 +Bit 41 1 120 Block=P80 Latch=I1 +Bit 51 1 110 Block=P83 Latch=I1 +Bit 61 1 100 Block=P85 Latch=I1 +Bit 71 1 90 Block=P87 Latch=I1 +Bit 81 1 80 Block=P89 Latch=I1 +Bit 92 1 69 Block=P93 Latch=I1 +Bit 102 1 59 Block=P95 Latch=I1 +Bit 112 1 49 Block=P97 Latch=I1 +Bit 122 1 39 Block=P99 Latch=I1 +Bit 132 1 29 Block=P102 Latch=I1 +Bit 142 1 19 Block=P104 Latch=I1 +Bit 152 1 9 Block=P106 Latch=I1 +Bit 337 3 146 Block=P75 Latch=OQ +Bit 343 3 140 Block=P76 Latch=I2 +Bit 347 3 136 Block=P77 Latch=OQ +Bit 353 3 130 Block=P78 Latch=I2 +Bit 357 3 126 Block=P79 Latch=OQ +Bit 363 3 120 Block=P80 Latch=I2 +Bit 367 3 116 Block=P82 Latch=OQ +Bit 373 3 110 Block=P83 Latch=I2 +Bit 377 3 106 Block=P84 Latch=OQ +Bit 383 3 100 Block=P85 Latch=I2 +Bit 387 3 96 Block=P86 Latch=OQ +Bit 393 3 90 Block=P87 Latch=I2 +Bit 397 3 86 Block=P88 Latch=OQ +Bit 403 3 80 Block=P89 Latch=I2 +Bit 408 3 75 Block=P92 Latch=OQ +Bit 414 3 69 Block=P93 Latch=I2 +Bit 418 3 65 Block=P94 Latch=OQ +Bit 424 3 59 Block=P95 Latch=I2 +Bit 428 3 55 Block=P96 Latch=OQ +Bit 434 3 49 Block=P97 Latch=I2 +Bit 438 3 45 Block=P98 Latch=OQ +Bit 444 3 39 Block=P99 Latch=I2 +Bit 448 3 35 Block=P101 Latch=OQ +Bit 454 3 29 Block=P102 Latch=I2 +Bit 458 3 25 Block=P103 Latch=OQ +Bit 464 3 19 Block=P104 Latch=I2 +Bit 468 3 15 Block=P105 Latch=OQ +Bit 474 3 9 Block=P106 Latch=I2 +Bit 499 4 145 Block=P75 Latch=I2 +Bit 504 4 140 Block=P76 Latch=OQ +Bit 509 4 135 Block=P77 Latch=I2 +Bit 514 4 130 Block=P78 Latch=OQ +Bit 519 4 125 Block=P79 Latch=I2 +Bit 524 4 120 Block=P80 Latch=OQ +Bit 529 4 115 Block=P82 Latch=I2 +Bit 534 4 110 Block=P83 Latch=OQ +Bit 539 4 105 Block=P84 Latch=I2 +Bit 544 4 100 Block=P85 Latch=OQ +Bit 549 4 95 Block=P86 Latch=I2 +Bit 554 4 90 Block=P87 Latch=OQ +Bit 559 4 85 Block=P88 Latch=I2 +Bit 564 4 80 Block=P89 Latch=OQ +Bit 570 4 74 Block=P92 Latch=I2 +Bit 575 4 69 Block=P93 Latch=OQ +Bit 580 4 64 Block=P94 Latch=I2 +Bit 585 4 59 Block=P95 Latch=OQ +Bit 590 4 54 Block=P96 Latch=I2 +Bit 595 4 49 Block=P97 Latch=OQ +Bit 600 4 44 Block=P98 Latch=I2 +Bit 605 4 39 Block=P99 Latch=OQ +Bit 610 4 34 Block=P101 Latch=I2 +Bit 615 4 29 Block=P102 Latch=OQ +Bit 620 4 24 Block=P103 Latch=I2 +Bit 625 4 19 Block=P104 Latch=OQ +Bit 630 4 14 Block=P105 Latch=I2 +Bit 635 4 9 Block=P106 Latch=OQ +Bit 660 5 145 Block=P75 Latch=I1 +Bit 670 5 135 Block=P77 Latch=I1 +Bit 680 5 125 Block=P79 Latch=I1 +Bit 690 5 115 Block=P82 Latch=I1 +Bit 700 5 105 Block=P84 Latch=I1 +Bit 710 5 95 Block=P86 Latch=I1 +Bit 720 5 85 Block=P88 Latch=I1 +Bit 731 5 74 Block=P92 Latch=I1 +Bit 741 5 64 Block=P94 Latch=I1 +Bit 751 5 54 Block=P96 Latch=I1 +Bit 761 5 44 Block=P98 Latch=I1 +Bit 771 5 34 Block=P101 Latch=I1 +Bit 781 5 24 Block=P103 Latch=I1 +Bit 791 5 14 Block=P105 Latch=I1 +Bit 7102 45 143 Block=CLB_R14C14 Latch=Y +Bit 7112 45 133 Block=CLB_R13C14 Latch=Y +Bit 7122 45 123 Block=CLB_R12C14 Latch=Y +Bit 7132 45 113 Block=CLB_R11C14 Latch=Y +Bit 7142 45 103 Block=CLB_R10C14 Latch=Y +Bit 7152 45 93 Block=CLB_R9C14 Latch=Y +Bit 7162 45 83 Block=CLB_R8C14 Latch=Y +Bit 7173 45 72 Block=CLB_R7C14 Latch=Y +Bit 7183 45 62 Block=CLB_R6C14 Latch=Y +Bit 7193 45 52 Block=CLB_R5C14 Latch=Y +Bit 7203 45 42 Block=CLB_R4C14 Latch=Y +Bit 7213 45 32 Block=CLB_R3C14 Latch=Y +Bit 7223 45 22 Block=CLB_R2C14 Latch=Y +Bit 7233 45 12 Block=CLB_R1C14 Latch=Y +Bit 7906 50 144 Block=CLB_R14C14 Latch=YQ +Bit 7916 50 134 Block=CLB_R13C14 Latch=YQ +Bit 7926 50 124 Block=CLB_R12C14 Latch=YQ +Bit 7936 50 114 Block=CLB_R11C14 Latch=YQ +Bit 7946 50 104 Block=CLB_R10C14 Latch=YQ +Bit 7956 50 94 Block=CLB_R9C14 Latch=YQ +Bit 7966 50 84 Block=CLB_R8C14 Latch=YQ +Bit 7977 50 73 Block=CLB_R7C14 Latch=YQ +Bit 7987 50 63 Block=CLB_R6C14 Latch=YQ +Bit 7997 50 53 Block=CLB_R5C14 Latch=YQ +Bit 8007 50 43 Block=CLB_R4C14 Latch=YQ +Bit 8017 50 33 Block=CLB_R3C14 Latch=YQ +Bit 8027 50 23 Block=CLB_R2C14 Latch=YQ +Bit 8037 50 13 Block=CLB_R1C14 Latch=YQ +Bit 8551 54 143 Block=CLB_R14C14 Latch=XQ +Bit 8561 54 133 Block=CLB_R13C14 Latch=XQ +Bit 8571 54 123 Block=CLB_R12C14 Latch=XQ +Bit 8581 54 113 Block=CLB_R11C14 Latch=XQ +Bit 8591 54 103 Block=CLB_R10C14 Latch=XQ +Bit 8601 54 93 Block=CLB_R9C14 Latch=XQ +Bit 8611 54 83 Block=CLB_R8C14 Latch=XQ +Bit 8622 54 72 Block=CLB_R7C14 Latch=XQ +Bit 8632 54 62 Block=CLB_R6C14 Latch=XQ +Bit 8642 54 52 Block=CLB_R5C14 Latch=XQ +Bit 8652 54 42 Block=CLB_R4C14 Latch=XQ +Bit 8662 54 32 Block=CLB_R3C14 Latch=XQ +Bit 8672 54 22 Block=CLB_R2C14 Latch=XQ +Bit 8682 54 12 Block=CLB_R1C14 Latch=XQ +Bit 8857 56 159 Block=P69 Latch=OQ +Bit 9013 56 3 Block=P112 Latch=OQ +Bit 9018 57 159 Block=P70 Latch=OQ +Bit 9174 57 3 Block=P111 Latch=OQ +Bit 9179 58 159 Block=P70 Latch=I1 +Bit 9194 58 144 Block=CLB_R14C14 Latch=X +Bit 9204 58 134 Block=CLB_R13C14 Latch=X +Bit 9214 58 124 Block=CLB_R12C14 Latch=X +Bit 9224 58 114 Block=CLB_R11C14 Latch=X +Bit 9234 58 104 Block=CLB_R10C14 Latch=X +Bit 9244 58 94 Block=CLB_R9C14 Latch=X +Bit 9254 58 84 Block=CLB_R8C14 Latch=X +Bit 9265 58 73 Block=CLB_R7C14 Latch=X +Bit 9275 58 63 Block=CLB_R6C14 Latch=X +Bit 9285 58 53 Block=CLB_R5C14 Latch=X +Bit 9295 58 43 Block=CLB_R4C14 Latch=X +Bit 9305 58 33 Block=CLB_R3C14 Latch=X +Bit 9315 58 23 Block=CLB_R2C14 Latch=X +Bit 9325 58 13 Block=CLB_R1C14 Latch=X +Bit 9335 58 3 Block=P111 Latch=I1 +Bit 9341 59 158 Block=P70 Latch=I2 +Bit 9495 59 4 Block=P111 Latch=I2 +Bit 9501 60 159 Block=P69 Latch=I2 +Bit 9502 60 158 Block=P69 Latch=I1 +Bit 9656 60 4 Block=P112 Latch=I1 +Bit 9657 60 3 Block=P112 Latch=I2 +Bit 12898 81 143 Block=CLB_R14C13 Latch=Y +Bit 12908 81 133 Block=CLB_R13C13 Latch=Y +Bit 12918 81 123 Block=CLB_R12C13 Latch=Y +Bit 12928 81 113 Block=CLB_R11C13 Latch=Y +Bit 12938 81 103 Block=CLB_R10C13 Latch=Y +Bit 12948 81 93 Block=CLB_R9C13 Latch=Y +Bit 12958 81 83 Block=CLB_R8C13 Latch=Y +Bit 12969 81 72 Block=CLB_R7C13 Latch=Y +Bit 12979 81 62 Block=CLB_R6C13 Latch=Y +Bit 12989 81 52 Block=CLB_R5C13 Latch=Y +Bit 12999 81 42 Block=CLB_R4C13 Latch=Y +Bit 13009 81 32 Block=CLB_R3C13 Latch=Y +Bit 13019 81 22 Block=CLB_R2C13 Latch=Y +Bit 13029 81 12 Block=CLB_R1C13 Latch=Y +Bit 13702 86 144 Block=CLB_R14C13 Latch=YQ +Bit 13712 86 134 Block=CLB_R13C13 Latch=YQ +Bit 13722 86 124 Block=CLB_R12C13 Latch=YQ +Bit 13732 86 114 Block=CLB_R11C13 Latch=YQ +Bit 13742 86 104 Block=CLB_R10C13 Latch=YQ +Bit 13752 86 94 Block=CLB_R9C13 Latch=YQ +Bit 13762 86 84 Block=CLB_R8C13 Latch=YQ +Bit 13773 86 73 Block=CLB_R7C13 Latch=YQ +Bit 13783 86 63 Block=CLB_R6C13 Latch=YQ +Bit 13793 86 53 Block=CLB_R5C13 Latch=YQ +Bit 13803 86 43 Block=CLB_R4C13 Latch=YQ +Bit 13813 86 33 Block=CLB_R3C13 Latch=YQ +Bit 13823 86 23 Block=CLB_R2C13 Latch=YQ +Bit 13833 86 13 Block=CLB_R1C13 Latch=YQ +Bit 14347 90 143 Block=CLB_R14C13 Latch=XQ +Bit 14357 90 133 Block=CLB_R13C13 Latch=XQ +Bit 14367 90 123 Block=CLB_R12C13 Latch=XQ +Bit 14377 90 113 Block=CLB_R11C13 Latch=XQ +Bit 14387 90 103 Block=CLB_R10C13 Latch=XQ +Bit 14397 90 93 Block=CLB_R9C13 Latch=XQ +Bit 14407 90 83 Block=CLB_R8C13 Latch=XQ +Bit 14418 90 72 Block=CLB_R7C13 Latch=XQ +Bit 14428 90 62 Block=CLB_R6C13 Latch=XQ +Bit 14438 90 52 Block=CLB_R5C13 Latch=XQ +Bit 14448 90 42 Block=CLB_R4C13 Latch=XQ +Bit 14458 90 32 Block=CLB_R3C13 Latch=XQ +Bit 14468 90 22 Block=CLB_R2C13 Latch=XQ +Bit 14478 90 12 Block=CLB_R1C13 Latch=XQ +Bit 14653 92 159 Block=P67 Latch=OQ +Bit 14809 92 3 Block=P114 Latch=OQ +Bit 14814 93 159 Block=P68 Latch=OQ +Bit 14970 93 3 Block=P113 Latch=OQ +Bit 14975 94 159 Block=P68 Latch=I1 +Bit 14990 94 144 Block=CLB_R14C13 Latch=X +Bit 15000 94 134 Block=CLB_R13C13 Latch=X +Bit 15010 94 124 Block=CLB_R12C13 Latch=X +Bit 15020 94 114 Block=CLB_R11C13 Latch=X +Bit 15030 94 104 Block=CLB_R10C13 Latch=X +Bit 15040 94 94 Block=CLB_R9C13 Latch=X +Bit 15050 94 84 Block=CLB_R8C13 Latch=X +Bit 15061 94 73 Block=CLB_R7C13 Latch=X +Bit 15071 94 63 Block=CLB_R6C13 Latch=X +Bit 15081 94 53 Block=CLB_R5C13 Latch=X +Bit 15091 94 43 Block=CLB_R4C13 Latch=X +Bit 15101 94 33 Block=CLB_R3C13 Latch=X +Bit 15111 94 23 Block=CLB_R2C13 Latch=X +Bit 15121 94 13 Block=CLB_R1C13 Latch=X +Bit 15131 94 3 Block=P113 Latch=I1 +Bit 15137 95 158 Block=P68 Latch=I2 +Bit 15291 95 4 Block=P113 Latch=I2 +Bit 15297 96 159 Block=P67 Latch=I2 +Bit 15298 96 158 Block=P67 Latch=I1 +Bit 15452 96 4 Block=P114 Latch=I1 +Bit 15453 96 3 Block=P114 Latch=I2 +Bit 18694 117 143 Block=CLB_R14C12 Latch=Y +Bit 18704 117 133 Block=CLB_R13C12 Latch=Y +Bit 18714 117 123 Block=CLB_R12C12 Latch=Y +Bit 18724 117 113 Block=CLB_R11C12 Latch=Y +Bit 18734 117 103 Block=CLB_R10C12 Latch=Y +Bit 18744 117 93 Block=CLB_R9C12 Latch=Y +Bit 18754 117 83 Block=CLB_R8C12 Latch=Y +Bit 18765 117 72 Block=CLB_R7C12 Latch=Y +Bit 18775 117 62 Block=CLB_R6C12 Latch=Y +Bit 18785 117 52 Block=CLB_R5C12 Latch=Y +Bit 18795 117 42 Block=CLB_R4C12 Latch=Y +Bit 18805 117 32 Block=CLB_R3C12 Latch=Y +Bit 18815 117 22 Block=CLB_R2C12 Latch=Y +Bit 18825 117 12 Block=CLB_R1C12 Latch=Y +Bit 19498 122 144 Block=CLB_R14C12 Latch=YQ +Bit 19508 122 134 Block=CLB_R13C12 Latch=YQ +Bit 19518 122 124 Block=CLB_R12C12 Latch=YQ +Bit 19528 122 114 Block=CLB_R11C12 Latch=YQ +Bit 19538 122 104 Block=CLB_R10C12 Latch=YQ +Bit 19548 122 94 Block=CLB_R9C12 Latch=YQ +Bit 19558 122 84 Block=CLB_R8C12 Latch=YQ +Bit 19569 122 73 Block=CLB_R7C12 Latch=YQ +Bit 19579 122 63 Block=CLB_R6C12 Latch=YQ +Bit 19589 122 53 Block=CLB_R5C12 Latch=YQ +Bit 19599 122 43 Block=CLB_R4C12 Latch=YQ +Bit 19609 122 33 Block=CLB_R3C12 Latch=YQ +Bit 19619 122 23 Block=CLB_R2C12 Latch=YQ +Bit 19629 122 13 Block=CLB_R1C12 Latch=YQ +Bit 20143 126 143 Block=CLB_R14C12 Latch=XQ +Bit 20153 126 133 Block=CLB_R13C12 Latch=XQ +Bit 20163 126 123 Block=CLB_R12C12 Latch=XQ +Bit 20173 126 113 Block=CLB_R11C12 Latch=XQ +Bit 20183 126 103 Block=CLB_R10C12 Latch=XQ +Bit 20193 126 93 Block=CLB_R9C12 Latch=XQ +Bit 20203 126 83 Block=CLB_R8C12 Latch=XQ +Bit 20214 126 72 Block=CLB_R7C12 Latch=XQ +Bit 20224 126 62 Block=CLB_R6C12 Latch=XQ +Bit 20234 126 52 Block=CLB_R5C12 Latch=XQ +Bit 20244 126 42 Block=CLB_R4C12 Latch=XQ +Bit 20254 126 32 Block=CLB_R3C12 Latch=XQ +Bit 20264 126 22 Block=CLB_R2C12 Latch=XQ +Bit 20274 126 12 Block=CLB_R1C12 Latch=XQ +Bit 20449 128 159 Block=P65 Latch=OQ +Bit 20605 128 3 Block=P116 Latch=OQ +Bit 20610 129 159 Block=P66 Latch=OQ +Bit 20766 129 3 Block=P115 Latch=OQ +Bit 20771 130 159 Block=P66 Latch=I1 +Bit 20786 130 144 Block=CLB_R14C12 Latch=X +Bit 20796 130 134 Block=CLB_R13C12 Latch=X +Bit 20806 130 124 Block=CLB_R12C12 Latch=X +Bit 20816 130 114 Block=CLB_R11C12 Latch=X +Bit 20826 130 104 Block=CLB_R10C12 Latch=X +Bit 20836 130 94 Block=CLB_R9C12 Latch=X +Bit 20846 130 84 Block=CLB_R8C12 Latch=X +Bit 20857 130 73 Block=CLB_R7C12 Latch=X +Bit 20867 130 63 Block=CLB_R6C12 Latch=X +Bit 20877 130 53 Block=CLB_R5C12 Latch=X +Bit 20887 130 43 Block=CLB_R4C12 Latch=X +Bit 20897 130 33 Block=CLB_R3C12 Latch=X +Bit 20907 130 23 Block=CLB_R2C12 Latch=X +Bit 20917 130 13 Block=CLB_R1C12 Latch=X +Bit 20927 130 3 Block=P115 Latch=I1 +Bit 20933 131 158 Block=P66 Latch=I2 +Bit 21087 131 4 Block=P115 Latch=I2 +Bit 21093 132 159 Block=P65 Latch=I2 +Bit 21094 132 158 Block=P65 Latch=I1 +Bit 21248 132 4 Block=P116 Latch=I1 +Bit 21249 132 3 Block=P116 Latch=I2 +Bit 24490 153 143 Block=CLB_R14C11 Latch=Y +Bit 24500 153 133 Block=CLB_R13C11 Latch=Y +Bit 24510 153 123 Block=CLB_R12C11 Latch=Y +Bit 24520 153 113 Block=CLB_R11C11 Latch=Y +Bit 24530 153 103 Block=CLB_R10C11 Latch=Y +Bit 24540 153 93 Block=CLB_R9C11 Latch=Y +Bit 24550 153 83 Block=CLB_R8C11 Latch=Y +Bit 24561 153 72 Block=CLB_R7C11 Latch=Y +Bit 24571 153 62 Block=CLB_R6C11 Latch=Y +Bit 24581 153 52 Block=CLB_R5C11 Latch=Y +Bit 24591 153 42 Block=CLB_R4C11 Latch=Y +Bit 24601 153 32 Block=CLB_R3C11 Latch=Y +Bit 24611 153 22 Block=CLB_R2C11 Latch=Y +Bit 24621 153 12 Block=CLB_R1C11 Latch=Y +Bit 25294 158 144 Block=CLB_R14C11 Latch=YQ +Bit 25304 158 134 Block=CLB_R13C11 Latch=YQ +Bit 25314 158 124 Block=CLB_R12C11 Latch=YQ +Bit 25324 158 114 Block=CLB_R11C11 Latch=YQ +Bit 25334 158 104 Block=CLB_R10C11 Latch=YQ +Bit 25344 158 94 Block=CLB_R9C11 Latch=YQ Net=Uart_Txrate/Cnt<1> +Bit 25354 158 84 Block=CLB_R8C11 Latch=YQ +Bit 25365 158 73 Block=CLB_R7C11 Latch=YQ +Bit 25375 158 63 Block=CLB_R6C11 Latch=YQ +Bit 25385 158 53 Block=CLB_R5C11 Latch=YQ +Bit 25395 158 43 Block=CLB_R4C11 Latch=YQ +Bit 25405 158 33 Block=CLB_R3C11 Latch=YQ +Bit 25415 158 23 Block=CLB_R2C11 Latch=YQ +Bit 25425 158 13 Block=CLB_R1C11 Latch=YQ +Bit 25939 162 143 Block=CLB_R14C11 Latch=XQ +Bit 25949 162 133 Block=CLB_R13C11 Latch=XQ +Bit 25959 162 123 Block=CLB_R12C11 Latch=XQ +Bit 25969 162 113 Block=CLB_R11C11 Latch=XQ +Bit 25979 162 103 Block=CLB_R10C11 Latch=XQ +Bit 25989 162 93 Block=CLB_R9C11 Latch=XQ Net=Uart_Txrate/Cnt<0> +Bit 25999 162 83 Block=CLB_R8C11 Latch=XQ Net=EnabTx +Bit 26010 162 72 Block=CLB_R7C11 Latch=XQ +Bit 26020 162 62 Block=CLB_R6C11 Latch=XQ +Bit 26030 162 52 Block=CLB_R5C11 Latch=XQ +Bit 26040 162 42 Block=CLB_R4C11 Latch=XQ +Bit 26050 162 32 Block=CLB_R3C11 Latch=XQ +Bit 26060 162 22 Block=CLB_R2C11 Latch=XQ +Bit 26070 162 12 Block=CLB_R1C11 Latch=XQ +Bit 26245 164 159 Block=P62 Latch=OQ +Bit 26401 164 3 Block=P120 Latch=OQ +Bit 26406 165 159 Block=P63 Latch=OQ +Bit 26562 165 3 Block=P119 Latch=OQ +Bit 26567 166 159 Block=P63 Latch=I1 +Bit 26582 166 144 Block=CLB_R14C11 Latch=X +Bit 26592 166 134 Block=CLB_R13C11 Latch=X +Bit 26602 166 124 Block=CLB_R12C11 Latch=X +Bit 26612 166 114 Block=CLB_R11C11 Latch=X +Bit 26622 166 104 Block=CLB_R10C11 Latch=X +Bit 26632 166 94 Block=CLB_R9C11 Latch=X +Bit 26642 166 84 Block=CLB_R8C11 Latch=X +Bit 26653 166 73 Block=CLB_R7C11 Latch=X +Bit 26663 166 63 Block=CLB_R6C11 Latch=X +Bit 26673 166 53 Block=CLB_R5C11 Latch=X +Bit 26683 166 43 Block=CLB_R4C11 Latch=X +Bit 26693 166 33 Block=CLB_R3C11 Latch=X +Bit 26703 166 23 Block=CLB_R2C11 Latch=X +Bit 26713 166 13 Block=CLB_R1C11 Latch=X +Bit 26723 166 3 Block=P119 Latch=I1 +Bit 26729 167 158 Block=P63 Latch=I2 +Bit 26883 167 4 Block=P119 Latch=I2 Net=N_WB_STB_I +Bit 26889 168 159 Block=P62 Latch=I2 +Bit 26890 168 158 Block=P62 Latch=I1 +Bit 27044 168 4 Block=P120 Latch=I1 +Bit 27045 168 3 Block=P120 Latch=I2 +Bit 30286 189 143 Block=CLB_R14C10 Latch=Y Net=C7/N11 +Bit 30296 189 133 Block=CLB_R13C10 Latch=Y +Bit 30306 189 123 Block=CLB_R12C10 Latch=Y +Bit 30316 189 113 Block=CLB_R11C10 Latch=Y +Bit 30326 189 103 Block=CLB_R10C10 Latch=Y +Bit 30336 189 93 Block=CLB_R9C10 Latch=Y +Bit 30346 189 83 Block=CLB_R8C10 Latch=Y +Bit 30357 189 72 Block=CLB_R7C10 Latch=Y +Bit 30367 189 62 Block=CLB_R6C10 Latch=Y +Bit 30377 189 52 Block=CLB_R5C10 Latch=Y +Bit 30387 189 42 Block=CLB_R4C10 Latch=Y +Bit 30397 189 32 Block=CLB_R3C10 Latch=Y +Bit 30407 189 22 Block=CLB_R2C10 Latch=Y +Bit 30417 189 12 Block=CLB_R1C10 Latch=Y +Bit 31090 194 144 Block=CLB_R14C10 Latch=YQ Net=RxData<7> +Bit 31100 194 134 Block=CLB_R13C10 Latch=YQ +Bit 31110 194 124 Block=CLB_R12C10 Latch=YQ +Bit 31120 194 114 Block=CLB_R11C10 Latch=YQ +Bit 31130 194 104 Block=CLB_R10C10 Latch=YQ +Bit 31140 194 94 Block=CLB_R9C10 Latch=YQ +Bit 31150 194 84 Block=CLB_R8C10 Latch=YQ +Bit 31161 194 73 Block=CLB_R7C10 Latch=YQ +Bit 31171 194 63 Block=CLB_R6C10 Latch=YQ +Bit 31181 194 53 Block=CLB_R5C10 Latch=YQ +Bit 31191 194 43 Block=CLB_R4C10 Latch=YQ +Bit 31201 194 33 Block=CLB_R3C10 Latch=YQ +Bit 31211 194 23 Block=CLB_R2C10 Latch=YQ +Bit 31221 194 13 Block=CLB_R1C10 Latch=YQ +Bit 31735 198 143 Block=CLB_R14C10 Latch=XQ Net=RxData<6> +Bit 31745 198 133 Block=CLB_R13C10 Latch=XQ +Bit 31755 198 123 Block=CLB_R12C10 Latch=XQ +Bit 31765 198 113 Block=CLB_R11C10 Latch=XQ +Bit 31775 198 103 Block=CLB_R10C10 Latch=XQ +Bit 31785 198 93 Block=CLB_R9C10 Latch=XQ +Bit 31795 198 83 Block=CLB_R8C10 Latch=XQ +Bit 31806 198 72 Block=CLB_R7C10 Latch=XQ +Bit 31816 198 62 Block=CLB_R6C10 Latch=XQ +Bit 31826 198 52 Block=CLB_R5C10 Latch=XQ +Bit 31836 198 42 Block=CLB_R4C10 Latch=XQ +Bit 31846 198 32 Block=CLB_R3C10 Latch=XQ +Bit 31856 198 22 Block=CLB_R2C10 Latch=XQ +Bit 31866 198 12 Block=CLB_R1C10 Latch=XQ Net=N299 +Bit 32041 200 159 Block=P60 Latch=OQ +Bit 32197 200 3 Block=P122 Latch=OQ +Bit 32202 201 159 Block=P61 Latch=OQ +Bit 32358 201 3 Block=P121 Latch=OQ +Bit 32363 202 159 Block=P61 Latch=I1 +Bit 32378 202 144 Block=CLB_R14C10 Latch=X Net=C7/N29 +Bit 32388 202 134 Block=CLB_R13C10 Latch=X +Bit 32398 202 124 Block=CLB_R12C10 Latch=X +Bit 32408 202 114 Block=CLB_R11C10 Latch=X +Bit 32418 202 104 Block=CLB_R10C10 Latch=X +Bit 32428 202 94 Block=CLB_R9C10 Latch=X +Bit 32438 202 84 Block=CLB_R8C10 Latch=X +Bit 32449 202 73 Block=CLB_R7C10 Latch=X +Bit 32459 202 63 Block=CLB_R6C10 Latch=X +Bit 32469 202 53 Block=CLB_R5C10 Latch=X +Bit 32479 202 43 Block=CLB_R4C10 Latch=X +Bit 32489 202 33 Block=CLB_R3C10 Latch=X +Bit 32499 202 23 Block=CLB_R2C10 Latch=X +Bit 32509 202 13 Block=CLB_R1C10 Latch=X Net=C5/N5 +Bit 32519 202 3 Block=P121 Latch=I1 +Bit 32525 203 158 Block=P61 Latch=I2 +Bit 32679 203 4 Block=P121 Latch=I2 +Bit 32685 204 159 Block=P60 Latch=I2 +Bit 32686 204 158 Block=P60 Latch=I1 +Bit 32840 204 4 Block=P122 Latch=I1 +Bit 32841 204 3 Block=P122 Latch=I2 Net=N_WB_WE_I +Bit 36082 225 143 Block=CLB_R14C9 Latch=Y Net=C7/N4 +Bit 36092 225 133 Block=CLB_R13C9 Latch=Y Net=C7/N17 +Bit 36102 225 123 Block=CLB_R12C9 Latch=Y +Bit 36112 225 113 Block=CLB_R11C9 Latch=Y Net=syn862 +Bit 36122 225 103 Block=CLB_R10C9 Latch=Y +Bit 36132 225 93 Block=CLB_R9C9 Latch=Y +Bit 36142 225 83 Block=CLB_R8C9 Latch=Y +Bit 36153 225 72 Block=CLB_R7C9 Latch=Y +Bit 36163 225 62 Block=CLB_R6C9 Latch=Y +Bit 36173 225 52 Block=CLB_R5C9 Latch=Y +Bit 36183 225 42 Block=CLB_R4C9 Latch=Y +Bit 36193 225 32 Block=CLB_R3C9 Latch=Y +Bit 36203 225 22 Block=CLB_R2C9 Latch=Y +Bit 36213 225 12 Block=CLB_R1C9 Latch=Y +Bit 36886 230 144 Block=CLB_R14C9 Latch=YQ Net=RxData<2> +Bit 36896 230 134 Block=CLB_R13C9 Latch=YQ Net=RxData<5> +Bit 36906 230 124 Block=CLB_R12C9 Latch=YQ Net=Uart_RxUnit/RReg<5> +Bit 36916 230 114 Block=CLB_R11C9 Latch=YQ +Bit 36926 230 104 Block=CLB_R10C9 Latch=YQ +Bit 36936 230 94 Block=CLB_R9C9 Latch=YQ +Bit 36946 230 84 Block=CLB_R8C9 Latch=YQ +Bit 36957 230 73 Block=CLB_R7C9 Latch=YQ +Bit 36967 230 63 Block=CLB_R6C9 Latch=YQ +Bit 36977 230 53 Block=CLB_R5C9 Latch=YQ +Bit 36987 230 43 Block=CLB_R4C9 Latch=YQ +Bit 36997 230 33 Block=CLB_R3C9 Latch=YQ +Bit 37007 230 23 Block=CLB_R2C9 Latch=YQ +Bit 37017 230 13 Block=CLB_R1C9 Latch=YQ +Bit 37531 234 143 Block=CLB_R14C9 Latch=XQ Net=RxData<3> +Bit 37541 234 133 Block=CLB_R13C9 Latch=XQ Net=RxData<4> +Bit 37551 234 123 Block=CLB_R12C9 Latch=XQ Net=Uart_RxUnit/RReg<4> +Bit 37561 234 113 Block=CLB_R11C9 Latch=XQ +Bit 37571 234 103 Block=CLB_R10C9 Latch=XQ +Bit 37581 234 93 Block=CLB_R9C9 Latch=XQ Net=N298 +Bit 37591 234 83 Block=CLB_R8C9 Latch=XQ +Bit 37602 234 72 Block=CLB_R7C9 Latch=XQ +Bit 37612 234 62 Block=CLB_R6C9 Latch=XQ +Bit 37622 234 52 Block=CLB_R5C9 Latch=XQ +Bit 37632 234 42 Block=CLB_R4C9 Latch=XQ +Bit 37642 234 32 Block=CLB_R3C9 Latch=XQ +Bit 37652 234 22 Block=CLB_R2C9 Latch=XQ Net=ReadA +Bit 37662 234 12 Block=CLB_R1C9 Latch=XQ +Bit 37837 236 159 Block=P58 Latch=OQ +Bit 37993 236 3 Block=P124 Latch=OQ +Bit 37998 237 159 Block=P59 Latch=OQ +Bit 38154 237 3 Block=P123 Latch=OQ +Bit 38159 238 159 Block=P59 Latch=I1 +Bit 38174 238 144 Block=CLB_R14C9 Latch=X Net=C7/N35 +Bit 38184 238 134 Block=CLB_R13C9 Latch=X Net=C7/N23 +Bit 38194 238 124 Block=CLB_R12C9 Latch=X +Bit 38204 238 114 Block=CLB_R11C9 Latch=X Net=syn903 +Bit 38214 238 104 Block=CLB_R10C9 Latch=X Net=syn1336 +Bit 38224 238 94 Block=CLB_R9C9 Latch=X +Bit 38234 238 84 Block=CLB_R8C9 Latch=X +Bit 38245 238 73 Block=CLB_R7C9 Latch=X +Bit 38255 238 63 Block=CLB_R6C9 Latch=X +Bit 38265 238 53 Block=CLB_R5C9 Latch=X +Bit 38275 238 43 Block=CLB_R4C9 Latch=X +Bit 38285 238 33 Block=CLB_R3C9 Latch=X +Bit 38295 238 23 Block=CLB_R2C9 Latch=X Net=Uart_RxUnit/n558 +Bit 38305 238 13 Block=CLB_R1C9 Latch=X +Bit 38315 238 3 Block=P123 Latch=I1 +Bit 38321 239 158 Block=P59 Latch=I2 +Bit 38475 239 4 Block=P123 Latch=I2 +Bit 38481 240 159 Block=P58 Latch=I2 +Bit 38482 240 158 Block=P58 Latch=I1 +Bit 38636 240 4 Block=P124 Latch=I1 +Bit 38637 240 3 Block=P124 Latch=I2 Net=N_WB_RST_I +Bit 41878 261 143 Block=CLB_R14C8 Latch=Y +Bit 41888 261 133 Block=CLB_R13C8 Latch=Y +Bit 41898 261 123 Block=CLB_R12C8 Latch=Y +Bit 41908 261 113 Block=CLB_R11C8 Latch=Y Net=syn883 +Bit 41918 261 103 Block=CLB_R10C8 Latch=Y Net=syn854 +Bit 41928 261 93 Block=CLB_R9C8 Latch=Y +Bit 41938 261 83 Block=CLB_R8C8 Latch=Y +Bit 41949 261 72 Block=CLB_R7C8 Latch=Y +Bit 41959 261 62 Block=CLB_R6C8 Latch=Y +Bit 41969 261 52 Block=CLB_R5C8 Latch=Y +Bit 41979 261 42 Block=CLB_R4C8 Latch=Y +Bit 41989 261 32 Block=CLB_R3C8 Latch=Y +Bit 41999 261 22 Block=CLB_R2C8 Latch=Y +Bit 42009 261 12 Block=CLB_R1C8 Latch=Y +Bit 42682 266 144 Block=CLB_R14C8 Latch=YQ Net=Uart_RxUnit/RReg<3> +Bit 42692 266 134 Block=CLB_R13C8 Latch=YQ Net=Uart_RxUnit/RReg<7> +Bit 42702 266 124 Block=CLB_R12C8 Latch=YQ Net=Uart_RxUnit/RReg<1> +Bit 42712 266 114 Block=CLB_R11C8 Latch=YQ +Bit 42722 266 104 Block=CLB_R10C8 Latch=YQ +Bit 42732 266 94 Block=CLB_R9C8 Latch=YQ Net=Uart_RxUnit/BitPos<2> +Bit 42742 266 84 Block=CLB_R8C8 Latch=YQ +Bit 42753 266 73 Block=CLB_R7C8 Latch=YQ +Bit 42763 266 63 Block=CLB_R6C8 Latch=YQ +Bit 42773 266 53 Block=CLB_R5C8 Latch=YQ +Bit 42783 266 43 Block=CLB_R4C8 Latch=YQ +Bit 42793 266 33 Block=CLB_R3C8 Latch=YQ +Bit 42803 266 23 Block=CLB_R2C8 Latch=YQ +Bit 42813 266 13 Block=CLB_R1C8 Latch=YQ +Bit 43327 270 143 Block=CLB_R14C8 Latch=XQ Net=Uart_RxUnit/RReg<2> +Bit 43337 270 133 Block=CLB_R13C8 Latch=XQ Net=Uart_RxUnit/RReg<6> +Bit 43347 270 123 Block=CLB_R12C8 Latch=XQ Net=Uart_RxUnit/RReg<0> +Bit 43357 270 113 Block=CLB_R11C8 Latch=XQ +Bit 43367 270 103 Block=CLB_R10C8 Latch=XQ Net=Uart_RxUnit/BitPos<0> +Bit 43377 270 93 Block=CLB_R9C8 Latch=XQ Net=Uart_RxUnit/BitPos<1> +Bit 43387 270 83 Block=CLB_R8C8 Latch=XQ +Bit 43398 270 72 Block=CLB_R7C8 Latch=XQ +Bit 43408 270 62 Block=CLB_R6C8 Latch=XQ +Bit 43418 270 52 Block=CLB_R5C8 Latch=XQ +Bit 43428 270 42 Block=CLB_R4C8 Latch=XQ +Bit 43438 270 32 Block=CLB_R3C8 Latch=XQ +Bit 43448 270 22 Block=CLB_R2C8 Latch=XQ +Bit 43458 270 12 Block=CLB_R1C8 Latch=XQ +Bit 43633 272 159 Block=P56 Latch=OQ +Bit 43789 272 3 Block=P126 Latch=OQ +Bit 43794 273 159 Block=P57 Latch=OQ +Bit 43950 273 3 Block=P125 Latch=OQ +Bit 43955 274 159 Block=P57 Latch=I1 +Bit 43970 274 144 Block=CLB_R14C8 Latch=X +Bit 43980 274 134 Block=CLB_R13C8 Latch=X +Bit 43990 274 124 Block=CLB_R12C8 Latch=X +Bit 44000 274 114 Block=CLB_R11C8 Latch=X Net=syn893 +Bit 44010 274 104 Block=CLB_R10C8 Latch=X Net=Uart_RxUnit/C315 +Bit 44020 274 94 Block=CLB_R9C8 Latch=X +Bit 44030 274 84 Block=CLB_R8C8 Latch=X +Bit 44041 274 73 Block=CLB_R7C8 Latch=X +Bit 44051 274 63 Block=CLB_R6C8 Latch=X +Bit 44061 274 53 Block=CLB_R5C8 Latch=X +Bit 44071 274 43 Block=CLB_R4C8 Latch=X +Bit 44081 274 33 Block=CLB_R3C8 Latch=X +Bit 44091 274 23 Block=CLB_R2C8 Latch=X +Bit 44101 274 13 Block=CLB_R1C8 Latch=X +Bit 44111 274 3 Block=P125 Latch=I1 +Bit 44117 275 158 Block=P57 Latch=I2 +Bit 44271 275 4 Block=P125 Latch=I2 +Bit 44277 276 159 Block=P56 Latch=I2 +Bit 44278 276 158 Block=P56 Latch=I1 Net=N_RxD_PAD_I +Bit 44432 276 4 Block=P126 Latch=I1 +Bit 44433 276 3 Block=P126 Latch=I2 +Bit 47835 298 143 Block=CLB_R14C7 Latch=Y Net=C7/N45 +Bit 47845 298 133 Block=CLB_R13C7 Latch=Y +Bit 47855 298 123 Block=CLB_R12C7 Latch=Y +Bit 47865 298 113 Block=CLB_R11C7 Latch=Y +Bit 47875 298 103 Block=CLB_R10C7 Latch=Y Net=syn944 +Bit 47885 298 93 Block=CLB_R9C7 Latch=Y Net=Uart_RxUnit/C13/N3 +Bit 47895 298 83 Block=CLB_R8C7 Latch=Y +Bit 47906 298 72 Block=CLB_R7C7 Latch=Y +Bit 47916 298 62 Block=CLB_R6C7 Latch=Y +Bit 47926 298 52 Block=CLB_R5C7 Latch=Y +Bit 47936 298 42 Block=CLB_R4C7 Latch=Y +Bit 47946 298 32 Block=CLB_R3C7 Latch=Y +Bit 47956 298 22 Block=CLB_R2C7 Latch=Y +Bit 47966 298 12 Block=CLB_R1C7 Latch=Y +Bit 48639 303 144 Block=CLB_R14C7 Latch=YQ Net=N_IntRx_O +Bit 48649 303 134 Block=CLB_R13C7 Latch=YQ Net=RxData<1> +Bit 48659 303 124 Block=CLB_R12C7 Latch=YQ +Bit 48669 303 114 Block=CLB_R11C7 Latch=YQ +Bit 48679 303 104 Block=CLB_R10C7 Latch=YQ +Bit 48689 303 94 Block=CLB_R9C7 Latch=YQ +Bit 48699 303 84 Block=CLB_R8C7 Latch=YQ Net=Uart_RxUnit/SampleCnt<1> +Bit 48710 303 73 Block=CLB_R7C7 Latch=YQ +Bit 48720 303 63 Block=CLB_R6C7 Latch=YQ +Bit 48730 303 53 Block=CLB_R5C7 Latch=YQ +Bit 48740 303 43 Block=CLB_R4C7 Latch=YQ +Bit 48750 303 33 Block=CLB_R3C7 Latch=YQ +Bit 48760 303 23 Block=CLB_R2C7 Latch=YQ +Bit 48770 303 13 Block=CLB_R1C7 Latch=YQ +Bit 49284 307 143 Block=CLB_R14C7 Latch=XQ +Bit 49294 307 133 Block=CLB_R13C7 Latch=XQ Net=RxData<0> +Bit 49304 307 123 Block=CLB_R12C7 Latch=XQ +Bit 49314 307 113 Block=CLB_R11C7 Latch=XQ Net=Uart_RxUnit/BitPos<3> +Bit 49324 307 103 Block=CLB_R10C7 Latch=XQ +Bit 49334 307 93 Block=CLB_R9C7 Latch=XQ +Bit 49344 307 83 Block=CLB_R8C7 Latch=XQ Net=Uart_RxUnit/SampleCnt<0> +Bit 49355 307 72 Block=CLB_R7C7 Latch=XQ +Bit 49365 307 62 Block=CLB_R6C7 Latch=XQ +Bit 49375 307 52 Block=CLB_R5C7 Latch=XQ +Bit 49385 307 42 Block=CLB_R4C7 Latch=XQ +Bit 49395 307 32 Block=CLB_R3C7 Latch=XQ +Bit 49405 307 22 Block=CLB_R2C7 Latch=XQ +Bit 49415 307 12 Block=CLB_R1C7 Latch=XQ +Bit 49590 309 159 Block=P52 Latch=OQ +Bit 49746 309 3 Block=P130 Latch=OQ +Bit 49751 310 159 Block=P53 Latch=OQ +Bit 49907 310 3 Block=P129 Latch=OQ +Bit 49912 311 159 Block=P53 Latch=I1 +Bit 49927 311 144 Block=CLB_R14C7 Latch=X Net=C37 +Bit 49937 311 134 Block=CLB_R13C7 Latch=X Net=C7/N52 +Bit 49947 311 124 Block=CLB_R12C7 Latch=X +Bit 49957 311 114 Block=CLB_R11C7 Latch=X Net=syn878 +Bit 49967 311 104 Block=CLB_R10C7 Latch=X Net=syn1466 +Bit 49977 311 94 Block=CLB_R9C7 Latch=X Net=Uart_RxUnit/C15/N19 +Bit 49987 311 84 Block=CLB_R8C7 Latch=X +Bit 49998 311 73 Block=CLB_R7C7 Latch=X +Bit 50008 311 63 Block=CLB_R6C7 Latch=X +Bit 50018 311 53 Block=CLB_R5C7 Latch=X +Bit 50028 311 43 Block=CLB_R4C7 Latch=X +Bit 50038 311 33 Block=CLB_R3C7 Latch=X +Bit 50048 311 23 Block=CLB_R2C7 Latch=X +Bit 50058 311 13 Block=CLB_R1C7 Latch=X +Bit 50068 311 3 Block=P129 Latch=I1 +Bit 50074 312 158 Block=P53 Latch=I2 Net=N_WB_ADR_I<0> +Bit 50228 312 4 Block=P129 Latch=I2 +Bit 50234 313 159 Block=P52 Latch=I2 +Bit 50235 313 158 Block=P52 Latch=I1 Net=N_WB_ADR_I<1> +Bit 50389 313 4 Block=P130 Latch=I1 +Bit 50390 313 3 Block=P130 Latch=I2 Net=TxData<7> +Bit 53631 334 143 Block=CLB_R14C6 Latch=Y +Bit 53641 334 133 Block=CLB_R13C6 Latch=Y +Bit 53651 334 123 Block=CLB_R12C6 Latch=Y +Bit 53661 334 113 Block=CLB_R11C6 Latch=Y +Bit 53671 334 103 Block=CLB_R10C6 Latch=Y +Bit 53681 334 93 Block=CLB_R9C6 Latch=Y +Bit 53691 334 83 Block=CLB_R8C6 Latch=Y Net=Uart_RxUnit/C11/N5 +Bit 53702 334 72 Block=CLB_R7C6 Latch=Y +Bit 53712 334 62 Block=CLB_R6C6 Latch=Y Net=syn755 +Bit 53722 334 52 Block=CLB_R5C6 Latch=Y +Bit 53732 334 42 Block=CLB_R4C6 Latch=Y +Bit 53742 334 32 Block=CLB_R3C6 Latch=Y +Bit 53752 334 22 Block=CLB_R2C6 Latch=Y +Bit 53762 334 12 Block=CLB_R1C6 Latch=Y +Bit 54435 339 144 Block=CLB_R14C6 Latch=YQ +Bit 54445 339 134 Block=CLB_R13C6 Latch=YQ +Bit 54455 339 124 Block=CLB_R12C6 Latch=YQ +Bit 54465 339 114 Block=CLB_R11C6 Latch=YQ +Bit 54475 339 104 Block=CLB_R10C6 Latch=YQ Net=Uart_TxUnit/SyncLoad/C1A +Bit 54485 339 94 Block=CLB_R9C6 Latch=YQ +Bit 54495 339 84 Block=CLB_R8C6 Latch=YQ +Bit 54506 339 73 Block=CLB_R7C6 Latch=YQ Net=Uart_TxUnit/BitPos<3> +Bit 54516 339 63 Block=CLB_R6C6 Latch=YQ Net=Uart_TxUnit/TReg<3> +Bit 54526 339 53 Block=CLB_R5C6 Latch=YQ Net=Uart_TxUnit/TReg<6> +Bit 54536 339 43 Block=CLB_R4C6 Latch=YQ Net=Uart_TxUnit/TBuff<7> +Bit 54546 339 33 Block=CLB_R3C6 Latch=YQ Net=Uart_TxUnit/TBuff<2> +Bit 54556 339 23 Block=CLB_R2C6 Latch=YQ +Bit 54566 339 13 Block=CLB_R1C6 Latch=YQ +Bit 55080 343 143 Block=CLB_R14C6 Latch=XQ +Bit 55090 343 133 Block=CLB_R13C6 Latch=XQ +Bit 55100 343 123 Block=CLB_R12C6 Latch=XQ +Bit 55110 343 113 Block=CLB_R11C6 Latch=XQ +Bit 55120 343 103 Block=CLB_R10C6 Latch=XQ +Bit 55130 343 93 Block=CLB_R9C6 Latch=XQ +Bit 55140 343 83 Block=CLB_R8C6 Latch=XQ +Bit 55151 343 72 Block=CLB_R7C6 Latch=XQ Net=Uart_TxUnit/BitPos<2> +Bit 55161 343 62 Block=CLB_R6C6 Latch=XQ Net=Uart_TxUnit/TReg<2> +Bit 55171 343 52 Block=CLB_R5C6 Latch=XQ Net=Uart_TxUnit/TReg<7> +Bit 55181 343 42 Block=CLB_R4C6 Latch=XQ Net=Uart_TxUnit/TBuff<6> +Bit 55191 343 32 Block=CLB_R3C6 Latch=XQ Net=Uart_TxUnit/TBuff<3> +Bit 55201 343 22 Block=CLB_R2C6 Latch=XQ +Bit 55211 343 12 Block=CLB_R1C6 Latch=XQ +Bit 55386 345 159 Block=P50 Latch=OQ +Bit 55542 345 3 Block=P132 Latch=OQ +Bit 55547 346 159 Block=P51 Latch=OQ +Bit 55703 346 3 Block=P131 Latch=OQ +Bit 55708 347 159 Block=P51 Latch=I1 +Bit 55723 347 144 Block=CLB_R14C6 Latch=X +Bit 55733 347 134 Block=CLB_R13C6 Latch=X +Bit 55743 347 124 Block=CLB_R12C6 Latch=X +Bit 55753 347 114 Block=CLB_R11C6 Latch=X +Bit 55763 347 104 Block=CLB_R10C6 Latch=X +Bit 55773 347 94 Block=CLB_R9C6 Latch=X Net=Uart_RxUnit/C12/N5 +Bit 55783 347 84 Block=CLB_R8C6 Latch=X Net=Uart_RxUnit/C10/N10 +Bit 55794 347 73 Block=CLB_R7C6 Latch=X +Bit 55804 347 63 Block=CLB_R6C6 Latch=X Net=syn1134 +Bit 55814 347 53 Block=CLB_R5C6 Latch=X +Bit 55824 347 43 Block=CLB_R4C6 Latch=X +Bit 55834 347 33 Block=CLB_R3C6 Latch=X +Bit 55844 347 23 Block=CLB_R2C6 Latch=X +Bit 55854 347 13 Block=CLB_R1C6 Latch=X +Bit 55864 347 3 Block=P131 Latch=I1 +Bit 55870 348 158 Block=P51 Latch=I2 +Bit 56024 348 4 Block=P131 Latch=I2 Net=TxData<2> +Bit 56030 349 159 Block=P50 Latch=I2 +Bit 56031 349 158 Block=P50 Latch=I1 +Bit 56185 349 4 Block=P132 Latch=I1 +Bit 56186 349 3 Block=P132 Latch=I2 Net=TxData<3> +Bit 59427 370 143 Block=CLB_R14C5 Latch=Y +Bit 59437 370 133 Block=CLB_R13C5 Latch=Y +Bit 59447 370 123 Block=CLB_R12C5 Latch=Y +Bit 59457 370 113 Block=CLB_R11C5 Latch=Y +Bit 59467 370 103 Block=CLB_R10C5 Latch=Y Net=N296 +Bit 59477 370 93 Block=CLB_R9C5 Latch=Y Net=Uart_TxUnit/C11/N6 +Bit 59487 370 83 Block=CLB_R8C5 Latch=Y Net=Uart_TxUnit/C14/N19 +Bit 59498 370 72 Block=CLB_R7C5 Latch=Y +Bit 59508 370 62 Block=CLB_R6C5 Latch=Y +Bit 59518 370 52 Block=CLB_R5C5 Latch=Y +Bit 59528 370 42 Block=CLB_R4C5 Latch=Y +Bit 59538 370 32 Block=CLB_R3C5 Latch=Y Net=Uart_Rxrate/C64 +Bit 59548 370 22 Block=CLB_R2C5 Latch=Y +Bit 59558 370 12 Block=CLB_R1C5 Latch=Y +Bit 60231 375 144 Block=CLB_R14C5 Latch=YQ +Bit 60241 375 134 Block=CLB_R13C5 Latch=YQ +Bit 60251 375 124 Block=CLB_R12C5 Latch=YQ +Bit 60261 375 114 Block=CLB_R11C5 Latch=YQ +Bit 60271 375 104 Block=CLB_R10C5 Latch=YQ Net=Uart_TxUnit/SyncLoad/R +Bit 60281 375 94 Block=CLB_R9C5 Latch=YQ +Bit 60291 375 84 Block=CLB_R8C5 Latch=YQ +Bit 60302 375 73 Block=CLB_R7C5 Latch=YQ Net=Uart_TxUnit/BitPos<1> +Bit 60312 375 63 Block=CLB_R6C5 Latch=YQ +Bit 60322 375 53 Block=CLB_R5C5 Latch=YQ +Bit 60332 375 43 Block=CLB_R4C5 Latch=YQ Net=Uart_TxUnit/TReg<1> +Bit 60342 375 33 Block=CLB_R3C5 Latch=YQ Net=EnabRx +Bit 60352 375 23 Block=CLB_R2C5 Latch=YQ Net=Uart_Rxrate/Cnt<6> +Bit 60362 375 13 Block=CLB_R1C5 Latch=YQ Net=Uart_Rxrate/Cnt<4> +Bit 60876 379 143 Block=CLB_R14C5 Latch=XQ +Bit 60886 379 133 Block=CLB_R13C5 Latch=XQ +Bit 60896 379 123 Block=CLB_R12C5 Latch=XQ +Bit 60906 379 113 Block=CLB_R11C5 Latch=XQ +Bit 60916 379 103 Block=CLB_R10C5 Latch=XQ Net=Uart_TxUnit/LoadS +Bit 60926 379 93 Block=CLB_R9C5 Latch=XQ +Bit 60936 379 83 Block=CLB_R8C5 Latch=XQ Net=Uart_TxUnit/TBufL +Bit 60947 379 72 Block=CLB_R7C5 Latch=XQ Net=Uart_TxUnit/BitPos<0> +Bit 60957 379 62 Block=CLB_R6C5 Latch=XQ Net=N_TxD_PAD_O +Bit 60967 379 52 Block=CLB_R5C5 Latch=XQ +Bit 60977 379 42 Block=CLB_R4C5 Latch=XQ Net=Uart_TxUnit/TReg<0> +Bit 60987 379 32 Block=CLB_R3C5 Latch=XQ Net=Uart_Rxrate/Cnt<7> +Bit 60997 379 22 Block=CLB_R2C5 Latch=XQ Net=Uart_Rxrate/Cnt<5> +Bit 61007 379 12 Block=CLB_R1C5 Latch=XQ Net=Uart_Rxrate/Cnt<3> +Bit 61182 381 159 Block=P48 Latch=OQ +Bit 61338 381 3 Block=P134 Latch=OQ +Bit 61343 382 159 Block=P49 Latch=OQ +Bit 61499 382 3 Block=P133 Latch=OQ +Bit 61504 383 159 Block=P49 Latch=I1 +Bit 61519 383 144 Block=CLB_R14C5 Latch=X +Bit 61529 383 134 Block=CLB_R13C5 Latch=X +Bit 61539 383 124 Block=CLB_R12C5 Latch=X Net=GLOBAL_LOGIC1_0 +Bit 61549 383 114 Block=CLB_R11C5 Latch=X +Bit 61559 383 104 Block=CLB_R10C5 Latch=X Net=Uart_TxUnit/C8/N5 +Bit 61569 383 94 Block=CLB_R9C5 Latch=X Net=Uart_TxUnit/C9/N5 +Bit 61579 383 84 Block=CLB_R8C5 Latch=X Net=Uart_TxUnit/C10/N6 +Bit 61590 383 73 Block=CLB_R7C5 Latch=X +Bit 61600 383 63 Block=CLB_R6C5 Latch=X +Bit 61610 383 53 Block=CLB_R5C5 Latch=X Net=syn785 +Bit 61620 383 43 Block=CLB_R4C5 Latch=X Net=syn1133 +Bit 61630 383 33 Block=CLB_R3C5 Latch=X +Bit 61640 383 23 Block=CLB_R2C5 Latch=X +Bit 61650 383 13 Block=CLB_R1C5 Latch=X +Bit 61660 383 3 Block=P133 Latch=I1 +Bit 61666 384 158 Block=P49 Latch=I2 +Bit 61820 384 4 Block=P133 Latch=I2 Net=TxData<6> +Bit 61826 385 159 Block=P48 Latch=I2 +Bit 61827 385 158 Block=P48 Latch=I1 +Bit 61981 385 4 Block=P134 Latch=I1 +Bit 61982 385 3 Block=P134 Latch=I2 Net=TxData<0> +Bit 65223 406 143 Block=CLB_R14C4 Latch=Y +Bit 65233 406 133 Block=CLB_R13C4 Latch=Y +Bit 65243 406 123 Block=CLB_R12C4 Latch=Y +Bit 65253 406 113 Block=CLB_R11C4 Latch=Y +Bit 65263 406 103 Block=CLB_R10C4 Latch=Y +Bit 65273 406 93 Block=CLB_R9C4 Latch=Y +Bit 65283 406 83 Block=CLB_R8C4 Latch=Y +Bit 65294 406 72 Block=CLB_R7C4 Latch=Y +Bit 65304 406 62 Block=CLB_R6C4 Latch=Y +Bit 65314 406 52 Block=CLB_R5C4 Latch=Y +Bit 65324 406 42 Block=CLB_R4C4 Latch=Y +Bit 65334 406 32 Block=CLB_R3C4 Latch=Y Net=Uart_Rxrate/C67 +Bit 65344 406 22 Block=CLB_R2C4 Latch=Y +Bit 65354 406 12 Block=CLB_R1C4 Latch=Y +Bit 66027 411 144 Block=CLB_R14C4 Latch=YQ +Bit 66037 411 134 Block=CLB_R13C4 Latch=YQ +Bit 66047 411 124 Block=CLB_R12C4 Latch=YQ +Bit 66057 411 114 Block=CLB_R11C4 Latch=YQ +Bit 66067 411 104 Block=CLB_R10C4 Latch=YQ +Bit 66077 411 94 Block=CLB_R9C4 Latch=YQ +Bit 66087 411 84 Block=CLB_R8C4 Latch=YQ +Bit 66098 411 73 Block=CLB_R7C4 Latch=YQ +Bit 66108 411 63 Block=CLB_R6C4 Latch=YQ Net=Uart_TxUnit/TReg<4> +Bit 66118 411 53 Block=CLB_R5C4 Latch=YQ Net=Uart_TxUnit/TBuff<5> +Bit 66128 411 43 Block=CLB_R4C4 Latch=YQ Net=Uart_TxUnit/TBuff<1> +Bit 66138 411 33 Block=CLB_R3C4 Latch=YQ +Bit 66148 411 23 Block=CLB_R2C4 Latch=YQ Net=Uart_Rxrate/Cnt<0> +Bit 66158 411 13 Block=CLB_R1C4 Latch=YQ Net=Uart_Rxrate/Cnt<2> +Bit 66672 415 143 Block=CLB_R14C4 Latch=XQ +Bit 66682 415 133 Block=CLB_R13C4 Latch=XQ +Bit 66692 415 123 Block=CLB_R12C4 Latch=XQ +Bit 66702 415 113 Block=CLB_R11C4 Latch=XQ +Bit 66712 415 103 Block=CLB_R10C4 Latch=XQ +Bit 66722 415 93 Block=CLB_R9C4 Latch=XQ +Bit 66732 415 83 Block=CLB_R8C4 Latch=XQ +Bit 66743 415 72 Block=CLB_R7C4 Latch=XQ +Bit 66753 415 62 Block=CLB_R6C4 Latch=XQ Net=Uart_TxUnit/TReg<5> +Bit 66763 415 52 Block=CLB_R5C4 Latch=XQ Net=Uart_TxUnit/TBuff<4> +Bit 66773 415 42 Block=CLB_R4C4 Latch=XQ Net=Uart_TxUnit/TBuff<0> +Bit 66783 415 32 Block=CLB_R3C4 Latch=XQ +Bit 66793 415 22 Block=CLB_R2C4 Latch=XQ +Bit 66803 415 12 Block=CLB_R1C4 Latch=XQ Net=Uart_Rxrate/Cnt<1> +Bit 66978 417 159 Block=P46 Latch=OQ +Bit 67134 417 3 Block=P136 Latch=OQ +Bit 67139 418 159 Block=P47 Latch=OQ +Bit 67295 418 3 Block=P135 Latch=OQ +Bit 67300 419 159 Block=P47 Latch=I1 +Bit 67315 419 144 Block=CLB_R14C4 Latch=X +Bit 67325 419 134 Block=CLB_R13C4 Latch=X +Bit 67335 419 124 Block=CLB_R12C4 Latch=X +Bit 67345 419 114 Block=CLB_R11C4 Latch=X +Bit 67355 419 104 Block=CLB_R10C4 Latch=X +Bit 67365 419 94 Block=CLB_R9C4 Latch=X +Bit 67375 419 84 Block=CLB_R8C4 Latch=X +Bit 67386 419 73 Block=CLB_R7C4 Latch=X +Bit 67396 419 63 Block=CLB_R6C4 Latch=X +Bit 67406 419 53 Block=CLB_R5C4 Latch=X +Bit 67416 419 43 Block=CLB_R4C4 Latch=X +Bit 67426 419 33 Block=CLB_R3C4 Latch=X +Bit 67436 419 23 Block=CLB_R2C4 Latch=X +Bit 67446 419 13 Block=CLB_R1C4 Latch=X +Bit 67456 419 3 Block=P135 Latch=I1 +Bit 67462 420 158 Block=P47 Latch=I2 +Bit 67616 420 4 Block=P135 Latch=I2 Net=TxData<5> +Bit 67622 421 159 Block=P46 Latch=I2 +Bit 67623 421 158 Block=P46 Latch=I1 +Bit 67777 421 4 Block=P136 Latch=I1 +Bit 67778 421 3 Block=P136 Latch=I2 Net=TxData<4> +Bit 71019 442 143 Block=CLB_R14C3 Latch=Y +Bit 71029 442 133 Block=CLB_R13C3 Latch=Y +Bit 71039 442 123 Block=CLB_R12C3 Latch=Y +Bit 71049 442 113 Block=CLB_R11C3 Latch=Y Net=GLOBAL_LOGIC1 +Bit 71059 442 103 Block=CLB_R10C3 Latch=Y +Bit 71069 442 93 Block=CLB_R9C3 Latch=Y +Bit 71079 442 83 Block=CLB_R8C3 Latch=Y +Bit 71090 442 72 Block=CLB_R7C3 Latch=Y +Bit 71100 442 62 Block=CLB_R6C3 Latch=Y +Bit 71110 442 52 Block=CLB_R5C3 Latch=Y +Bit 71120 442 42 Block=CLB_R4C3 Latch=Y +Bit 71130 442 32 Block=CLB_R3C3 Latch=Y +Bit 71140 442 22 Block=CLB_R2C3 Latch=Y +Bit 71150 442 12 Block=CLB_R1C3 Latch=Y +Bit 71823 447 144 Block=CLB_R14C3 Latch=YQ +Bit 71833 447 134 Block=CLB_R13C3 Latch=YQ +Bit 71843 447 124 Block=CLB_R12C3 Latch=YQ +Bit 71853 447 114 Block=CLB_R11C3 Latch=YQ +Bit 71863 447 104 Block=CLB_R10C3 Latch=YQ +Bit 71873 447 94 Block=CLB_R9C3 Latch=YQ +Bit 71883 447 84 Block=CLB_R8C3 Latch=YQ +Bit 71894 447 73 Block=CLB_R7C3 Latch=YQ +Bit 71904 447 63 Block=CLB_R6C3 Latch=YQ +Bit 71914 447 53 Block=CLB_R5C3 Latch=YQ +Bit 71924 447 43 Block=CLB_R4C3 Latch=YQ +Bit 71934 447 33 Block=CLB_R3C3 Latch=YQ +Bit 71944 447 23 Block=CLB_R2C3 Latch=YQ +Bit 71954 447 13 Block=CLB_R1C3 Latch=YQ +Bit 72468 451 143 Block=CLB_R14C3 Latch=XQ +Bit 72478 451 133 Block=CLB_R13C3 Latch=XQ +Bit 72488 451 123 Block=CLB_R12C3 Latch=XQ +Bit 72498 451 113 Block=CLB_R11C3 Latch=XQ +Bit 72508 451 103 Block=CLB_R10C3 Latch=XQ +Bit 72518 451 93 Block=CLB_R9C3 Latch=XQ +Bit 72528 451 83 Block=CLB_R8C3 Latch=XQ +Bit 72539 451 72 Block=CLB_R7C3 Latch=XQ +Bit 72549 451 62 Block=CLB_R6C3 Latch=XQ +Bit 72559 451 52 Block=CLB_R5C3 Latch=XQ +Bit 72569 451 42 Block=CLB_R4C3 Latch=XQ +Bit 72579 451 32 Block=CLB_R3C3 Latch=XQ +Bit 72589 451 22 Block=CLB_R2C3 Latch=XQ +Bit 72599 451 12 Block=CLB_R1C3 Latch=XQ +Bit 72774 453 159 Block=P43 Latch=OQ +Bit 72930 453 3 Block=P139 Latch=OQ +Bit 72935 454 159 Block=P44 Latch=OQ +Bit 73091 454 3 Block=P138 Latch=OQ +Bit 73096 455 159 Block=P44 Latch=I1 +Bit 73111 455 144 Block=CLB_R14C3 Latch=X +Bit 73121 455 134 Block=CLB_R13C3 Latch=X +Bit 73131 455 124 Block=CLB_R12C3 Latch=X +Bit 73141 455 114 Block=CLB_R11C3 Latch=X +Bit 73151 455 104 Block=CLB_R10C3 Latch=X +Bit 73161 455 94 Block=CLB_R9C3 Latch=X +Bit 73171 455 84 Block=CLB_R8C3 Latch=X +Bit 73182 455 73 Block=CLB_R7C3 Latch=X +Bit 73192 455 63 Block=CLB_R6C3 Latch=X +Bit 73202 455 53 Block=CLB_R5C3 Latch=X +Bit 73212 455 43 Block=CLB_R4C3 Latch=X +Bit 73222 455 33 Block=CLB_R3C3 Latch=X +Bit 73232 455 23 Block=CLB_R2C3 Latch=X +Bit 73242 455 13 Block=CLB_R1C3 Latch=X +Bit 73252 455 3 Block=P138 Latch=I1 +Bit 73258 456 158 Block=P44 Latch=I2 +Bit 73412 456 4 Block=P138 Latch=I2 Net=TxData<1> +Bit 73418 457 159 Block=P43 Latch=I2 +Bit 73419 457 158 Block=P43 Latch=I1 +Bit 73573 457 4 Block=P139 Latch=I1 +Bit 73574 457 3 Block=P139 Latch=I2 +Bit 76815 478 143 Block=CLB_R14C2 Latch=Y +Bit 76825 478 133 Block=CLB_R13C2 Latch=Y +Bit 76835 478 123 Block=CLB_R12C2 Latch=Y +Bit 76845 478 113 Block=CLB_R11C2 Latch=Y +Bit 76855 478 103 Block=CLB_R10C2 Latch=Y +Bit 76865 478 93 Block=CLB_R9C2 Latch=Y +Bit 76875 478 83 Block=CLB_R8C2 Latch=Y +Bit 76886 478 72 Block=CLB_R7C2 Latch=Y +Bit 76896 478 62 Block=CLB_R6C2 Latch=Y +Bit 76906 478 52 Block=CLB_R5C2 Latch=Y +Bit 76916 478 42 Block=CLB_R4C2 Latch=Y +Bit 76926 478 32 Block=CLB_R3C2 Latch=Y +Bit 76936 478 22 Block=CLB_R2C2 Latch=Y +Bit 76946 478 12 Block=CLB_R1C2 Latch=Y +Bit 77619 483 144 Block=CLB_R14C2 Latch=YQ +Bit 77629 483 134 Block=CLB_R13C2 Latch=YQ +Bit 77639 483 124 Block=CLB_R12C2 Latch=YQ +Bit 77649 483 114 Block=CLB_R11C2 Latch=YQ +Bit 77659 483 104 Block=CLB_R10C2 Latch=YQ +Bit 77669 483 94 Block=CLB_R9C2 Latch=YQ +Bit 77679 483 84 Block=CLB_R8C2 Latch=YQ +Bit 77690 483 73 Block=CLB_R7C2 Latch=YQ +Bit 77700 483 63 Block=CLB_R6C2 Latch=YQ +Bit 77710 483 53 Block=CLB_R5C2 Latch=YQ +Bit 77720 483 43 Block=CLB_R4C2 Latch=YQ +Bit 77730 483 33 Block=CLB_R3C2 Latch=YQ +Bit 77740 483 23 Block=CLB_R2C2 Latch=YQ +Bit 77750 483 13 Block=CLB_R1C2 Latch=YQ +Bit 78264 487 143 Block=CLB_R14C2 Latch=XQ +Bit 78274 487 133 Block=CLB_R13C2 Latch=XQ +Bit 78284 487 123 Block=CLB_R12C2 Latch=XQ +Bit 78294 487 113 Block=CLB_R11C2 Latch=XQ +Bit 78304 487 103 Block=CLB_R10C2 Latch=XQ +Bit 78314 487 93 Block=CLB_R9C2 Latch=XQ +Bit 78324 487 83 Block=CLB_R8C2 Latch=XQ +Bit 78335 487 72 Block=CLB_R7C2 Latch=XQ +Bit 78345 487 62 Block=CLB_R6C2 Latch=XQ +Bit 78355 487 52 Block=CLB_R5C2 Latch=XQ +Bit 78365 487 42 Block=CLB_R4C2 Latch=XQ +Bit 78375 487 32 Block=CLB_R3C2 Latch=XQ +Bit 78385 487 22 Block=CLB_R2C2 Latch=XQ +Bit 78395 487 12 Block=CLB_R1C2 Latch=XQ +Bit 78570 489 159 Block=P41 Latch=OQ +Bit 78726 489 3 Block=P141 Latch=OQ +Bit 78731 490 159 Block=P42 Latch=OQ +Bit 78887 490 3 Block=P140 Latch=OQ +Bit 78892 491 159 Block=P42 Latch=I1 +Bit 78907 491 144 Block=CLB_R14C2 Latch=X +Bit 78917 491 134 Block=CLB_R13C2 Latch=X +Bit 78927 491 124 Block=CLB_R12C2 Latch=X +Bit 78937 491 114 Block=CLB_R11C2 Latch=X +Bit 78947 491 104 Block=CLB_R10C2 Latch=X +Bit 78957 491 94 Block=CLB_R9C2 Latch=X +Bit 78967 491 84 Block=CLB_R8C2 Latch=X +Bit 78978 491 73 Block=CLB_R7C2 Latch=X +Bit 78988 491 63 Block=CLB_R6C2 Latch=X +Bit 78998 491 53 Block=CLB_R5C2 Latch=X +Bit 79008 491 43 Block=CLB_R4C2 Latch=X +Bit 79018 491 33 Block=CLB_R3C2 Latch=X +Bit 79028 491 23 Block=CLB_R2C2 Latch=X +Bit 79038 491 13 Block=CLB_R1C2 Latch=X +Bit 79048 491 3 Block=P140 Latch=I1 +Bit 79054 492 158 Block=P42 Latch=I2 +Bit 79208 492 4 Block=P140 Latch=I2 +Bit 79214 493 159 Block=P41 Latch=I2 +Bit 79215 493 158 Block=P41 Latch=I1 +Bit 79369 493 4 Block=P141 Latch=I1 +Bit 79370 493 3 Block=P141 Latch=I2 +Bit 82611 514 143 Block=CLB_R14C1 Latch=Y +Bit 82621 514 133 Block=CLB_R13C1 Latch=Y +Bit 82631 514 123 Block=CLB_R12C1 Latch=Y +Bit 82641 514 113 Block=CLB_R11C1 Latch=Y +Bit 82651 514 103 Block=CLB_R10C1 Latch=Y +Bit 82661 514 93 Block=CLB_R9C1 Latch=Y +Bit 82671 514 83 Block=CLB_R8C1 Latch=Y +Bit 82682 514 72 Block=CLB_R7C1 Latch=Y +Bit 82692 514 62 Block=CLB_R6C1 Latch=Y +Bit 82702 514 52 Block=CLB_R5C1 Latch=Y +Bit 82712 514 42 Block=CLB_R4C1 Latch=Y +Bit 82722 514 32 Block=CLB_R3C1 Latch=Y +Bit 82732 514 22 Block=CLB_R2C1 Latch=Y +Bit 82742 514 12 Block=CLB_R1C1 Latch=Y +Bit 83415 519 144 Block=CLB_R14C1 Latch=YQ +Bit 83425 519 134 Block=CLB_R13C1 Latch=YQ +Bit 83435 519 124 Block=CLB_R12C1 Latch=YQ +Bit 83445 519 114 Block=CLB_R11C1 Latch=YQ +Bit 83455 519 104 Block=CLB_R10C1 Latch=YQ +Bit 83465 519 94 Block=CLB_R9C1 Latch=YQ +Bit 83475 519 84 Block=CLB_R8C1 Latch=YQ +Bit 83486 519 73 Block=CLB_R7C1 Latch=YQ +Bit 83496 519 63 Block=CLB_R6C1 Latch=YQ +Bit 83506 519 53 Block=CLB_R5C1 Latch=YQ +Bit 83516 519 43 Block=CLB_R4C1 Latch=YQ +Bit 83526 519 33 Block=CLB_R3C1 Latch=YQ +Bit 83536 519 23 Block=CLB_R2C1 Latch=YQ +Bit 83546 519 13 Block=CLB_R1C1 Latch=YQ +Bit 84060 523 143 Block=CLB_R14C1 Latch=XQ +Bit 84070 523 133 Block=CLB_R13C1 Latch=XQ +Bit 84080 523 123 Block=CLB_R12C1 Latch=XQ +Bit 84090 523 113 Block=CLB_R11C1 Latch=XQ +Bit 84100 523 103 Block=CLB_R10C1 Latch=XQ +Bit 84110 523 93 Block=CLB_R9C1 Latch=XQ +Bit 84120 523 83 Block=CLB_R8C1 Latch=XQ +Bit 84131 523 72 Block=CLB_R7C1 Latch=XQ +Bit 84141 523 62 Block=CLB_R6C1 Latch=XQ +Bit 84151 523 52 Block=CLB_R5C1 Latch=XQ +Bit 84161 523 42 Block=CLB_R4C1 Latch=XQ +Bit 84171 523 32 Block=CLB_R3C1 Latch=XQ +Bit 84181 523 22 Block=CLB_R2C1 Latch=XQ +Bit 84191 523 12 Block=CLB_R1C1 Latch=XQ +Bit 84366 525 159 Block=P39 Latch=OQ +Bit 84522 525 3 Block=P143 Latch=OQ +Bit 84527 526 159 Block=P40 Latch=OQ +Bit 84683 526 3 Block=P142 Latch=OQ +Bit 84688 527 159 Block=P40 Latch=I1 +Bit 84703 527 144 Block=CLB_R14C1 Latch=X +Bit 84713 527 134 Block=CLB_R13C1 Latch=X +Bit 84723 527 124 Block=CLB_R12C1 Latch=X +Bit 84733 527 114 Block=CLB_R11C1 Latch=X +Bit 84743 527 104 Block=CLB_R10C1 Latch=X +Bit 84753 527 94 Block=CLB_R9C1 Latch=X +Bit 84763 527 84 Block=CLB_R8C1 Latch=X +Bit 84774 527 73 Block=CLB_R7C1 Latch=X +Bit 84784 527 63 Block=CLB_R6C1 Latch=X +Bit 84794 527 53 Block=CLB_R5C1 Latch=X +Bit 84804 527 43 Block=CLB_R4C1 Latch=X +Bit 84814 527 33 Block=CLB_R3C1 Latch=X +Bit 84824 527 23 Block=CLB_R2C1 Latch=X +Bit 84834 527 13 Block=CLB_R1C1 Latch=X +Bit 84844 527 3 Block=P142 Latch=I1 +Bit 84850 528 158 Block=P40 Latch=I2 +Bit 85004 528 4 Block=P142 Latch=I2 +Bit 85010 529 159 Block=P39 Latch=I2 +Bit 85011 529 158 Block=P39 Latch=I1 +Bit 85165 529 4 Block=P143 Latch=I1 +Bit 85166 529 3 Block=P143 Latch=I2 +Bit 91303 568 145 Block=P33 Latch=I1 +Bit 91313 568 135 Block=P31 Latch=I1 +Bit 91323 568 125 Block=P29 Latch=I1 +Bit 91333 568 115 Block=P26 Latch=I1 +Bit 91343 568 105 Block=P24 Latch=I1 +Bit 91353 568 95 Block=P22 Latch=I1 +Bit 91363 568 85 Block=P20 Latch=I1 +Bit 91374 568 74 Block=P16 Latch=I1 +Bit 91384 568 64 Block=P14 Latch=I1 +Bit 91394 568 54 Block=P12 Latch=I1 +Bit 91404 568 44 Block=P10 Latch=I1 +Bit 91414 568 34 Block=P7 Latch=I1 +Bit 91424 568 24 Block=P5 Latch=I1 +Bit 91434 568 14 Block=P3 Latch=I1 +Bit 91464 569 145 Block=P33 Latch=I2 +Bit 91469 569 140 Block=P32 Latch=OQ +Bit 91474 569 135 Block=P31 Latch=I2 +Bit 91479 569 130 Block=P30 Latch=OQ +Bit 91484 569 125 Block=P29 Latch=I2 +Bit 91489 569 120 Block=P28 Latch=OQ +Bit 91494 569 115 Block=P26 Latch=I2 +Bit 91499 569 110 Block=P25 Latch=OQ +Bit 91504 569 105 Block=P24 Latch=I2 +Bit 91509 569 100 Block=P23 Latch=OQ +Bit 91514 569 95 Block=P22 Latch=I2 +Bit 91519 569 90 Block=P21 Latch=OQ +Bit 91524 569 85 Block=P20 Latch=I2 +Bit 91529 569 80 Block=P19 Latch=OQ +Bit 91535 569 74 Block=P16 Latch=I2 +Bit 91540 569 69 Block=P15 Latch=OQ +Bit 91545 569 64 Block=P14 Latch=I2 +Bit 91550 569 59 Block=P13 Latch=OQ +Bit 91555 569 54 Block=P12 Latch=I2 +Bit 91560 569 49 Block=UNB104 Latch=OQ +Bit 91565 569 44 Block=P10 Latch=I2 +Bit 91570 569 39 Block=P9 Latch=OQ +Bit 91575 569 34 Block=P7 Latch=I2 +Bit 91580 569 29 Block=P6 Latch=OQ +Bit 91585 569 24 Block=P5 Latch=I2 +Bit 91590 569 19 Block=P4 Latch=OQ +Bit 91595 569 14 Block=P3 Latch=I2 +Bit 91600 569 9 Block=P2 Latch=OQ +Bit 91624 570 146 Block=P33 Latch=OQ +Bit 91630 570 140 Block=P32 Latch=I2 +Bit 91634 570 136 Block=P31 Latch=OQ +Bit 91640 570 130 Block=P30 Latch=I2 +Bit 91644 570 126 Block=P29 Latch=OQ +Bit 91650 570 120 Block=P28 Latch=I2 +Bit 91654 570 116 Block=P26 Latch=OQ +Bit 91660 570 110 Block=P25 Latch=I2 +Bit 91664 570 106 Block=P24 Latch=OQ +Bit 91670 570 100 Block=P23 Latch=I2 +Bit 91674 570 96 Block=P22 Latch=OQ +Bit 91680 570 90 Block=P21 Latch=I2 +Bit 91684 570 86 Block=P20 Latch=OQ +Bit 91690 570 80 Block=P19 Latch=I2 +Bit 91695 570 75 Block=P16 Latch=OQ +Bit 91701 570 69 Block=P15 Latch=I2 +Bit 91705 570 65 Block=P14 Latch=OQ +Bit 91711 570 59 Block=P13 Latch=I2 +Bit 91715 570 55 Block=P12 Latch=OQ +Bit 91721 570 49 Block=UNB104 Latch=I2 +Bit 91725 570 45 Block=P10 Latch=OQ +Bit 91731 570 39 Block=P9 Latch=I2 +Bit 91735 570 35 Block=P7 Latch=OQ +Bit 91741 570 29 Block=P6 Latch=I2 +Bit 91745 570 25 Block=P5 Latch=OQ +Bit 91751 570 19 Block=P4 Latch=I2 +Bit 91755 570 15 Block=P3 Latch=OQ +Bit 91761 570 9 Block=P2 Latch=I2 +Bit 91952 572 140 Block=P32 Latch=I1 +Bit 91962 572 130 Block=P30 Latch=I1 +Bit 91972 572 120 Block=P28 Latch=I1 +Bit 91982 572 110 Block=P25 Latch=I1 +Bit 91992 572 100 Block=P23 Latch=I1 +Bit 92002 572 90 Block=P21 Latch=I1 +Bit 92012 572 80 Block=P19 Latch=I1 +Bit 92023 572 69 Block=P15 Latch=I1 +Bit 92033 572 59 Block=P13 Latch=I1 +Bit 92043 572 49 Block=UNB104 Latch=I1 +Bit 92053 572 39 Block=P9 Latch=I1 +Bit 92063 572 29 Block=P6 Latch=I1 +Bit 92073 572 19 Block=P4 Latch=I1 +Bit 92083 572 9 Block=P2 Latch=I1 +Info ReadCaptureEnabled=1 +Info STARTSEL0=1 Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.drc =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.drc (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.drc (revision 22) @@ -0,0 +1 @@ +DRC detected 0 errors and 0 warnings. Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ngd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ngd =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ngd (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ngd (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ngd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pcf =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pcf (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pcf (revision 22) @@ -0,0 +1,7 @@ +SCHEMATIC START ; +// created by map version D.19 on Thu Jan 09 22:23:48 2003 +TIMEGRP "WB_CLK_I" = BEL "ReadA_reg/I$1" BEL "LoadA_reg/I$1" BEL "TxData_reg<7>" + BEL "TxData_reg<6>" BEL "TxData_reg<5>" BEL "TxData_reg<4>" BEL "TxData_reg<3>" + BEL "TxData_reg<2>" BEL "TxData_reg<1>" BEL "TxData_reg<0>" ; +TS_WB_CLK_I = PERIOD TIMEGRP "WB_CLK_I" 100 nS HIGH 50.000 % ; +SCHEMATIC END ; Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.twr =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.twr (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.twr (revision 22) @@ -0,0 +1,53 @@ +-------------------------------------------------------------------------------- +Xilinx TRACE, Version D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +trce xilinx.ncd xilinx.pcf -e 3 -o xilinx.twr + +Design file: xilinx.ncd +Physical constraint file: xilinx.pcf +Device,speed: xcs10,-4 (x1_0.14.2.2 1.7 PRELIMINARY) +Report level: error report +-------------------------------------------------------------------------------- + + +================================================================================ +Timing constraint: TS_WB_CLK_I = PERIOD TIMEGRP "WB_CLK_I" 100 nS HIGH 50.000 % ; + 0 items analyzed, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +All constraints were met. + + +Data Sheet report: +----------------- +No constraints were found to generate data for the Data Sheet Report section. +Use the Advanced Analysis (-a) option or generate global constraints for each +clock, its pad to setup and clock to pad paths, and a pad to pad constraint. + + +Table of Timegroups: +------------------- +TimeGroup WB_CLK_I: +BELs: + ReadA_reg/I$1 LoadA_reg/I$1 TxData_reg<7> TxData_reg<6> TxData_reg<5> TxData_reg<4> TxData_reg<3> TxData_reg<2> + TxData_reg<1> TxData_reg<0> + + + +Timing summary: +--------------- + +Timing errors: 0 Score: 0 + +Constraints cover 0 paths, 0 nets, and 18 connections (5.1% coverage) + +Design statistics: +No global statistics to report. This generally means that either the timing +analysis was performed on fully combinatorial portions of the design, or the +constraints specified did not cover any paths. + +Analysis completed Thu Jan 09 22:24:05 2003 +-------------------------------------------------------------------------------- + Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ucf =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ucf (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ucf (revision 22) @@ -0,0 +1,303 @@ +############################################## +# BASIC UCF SYNTAX EXAMPLES V2.1.6 # +############################################## +# +# The "#" symbol is a comment character. To use this sample file, find the +# specification necessary, remove the comment character (#) from the beginning +# of the line, and modify the line (if necessary) to fit your design. +# +# TIMING SPECIFICATIONS +# +# Timing specifications can be applied to the entire device (global) or to +# specific groups in your design (called "time groups'). The time groups are +# declared in two basic ways. +# +# Method 1: Based on a net name, where 'my_net' is a net that touches all the +# logic to be grouped in to 'logic_grp'. Example: +#NET my_net TNM_NET = logic_grp ; +# +# Method 2: Group using the key word 'TIMEGRP' and declare using the names of +# logic in your design. Example: +#TIMEGRP group_name = FFS ("U1/*"); +# creates a group called 'group_name' for all flip-flops within +# the hierarchical block called U1. Wildcards are valid. +# +# Grouping is very important because it lets you tell the software which parts +# of a design run at which speeds. For the majority of the designs with only +# one clock, use simple global constraints. +# +# The type of grouping constraint you use can vary depending on the synthesis +# tools you are using. Foundation Express does better with Method 2. +# +# +############################################################ +# Internal to the device clock speed specifications - Tsys # +############################################################ +# +# data _________ /^^^^^\ _________ out +# ----------| D Q |-----{ LOGIC } -----| D Q |------ +# | | \vvvvv/ | | +# ---|> CLK | ---|> CLK | +# clock | --------- | --------- +# ------------------------------------ +# +# --------------- +# Single Clock +# --------------- +# +# ---------------- +# PERIOD TIME-SPEC +# ---------------- +# The PERIOD spec. covers all timing paths that start or end at a +# register, latch, or synchronous RAM which are clocked by the reference +# net (excluding pad destinations). Also covered is the setup +# requirement of the synchronous element relative to other elements +# (ex. flip flops, pads, etc...). +# NOTE: The default unit for time is nanoseconds. +# +#NET clock PERIOD = 50ns ; +# +# -OR- +# +# ------------------ +# FROM:TO TIME-SPECs +# ------------------ +# FROM:TO style timespecs can be used to constrain paths between time +# groups. NOTE: Keywords: RAMS, FFS, PADS, and LATCHES are predefined +# time groups used to specify all elements of each type in a design. +#TIMEGRP RFFS = RISING FFS ("*"); // creates a rising group called RFFS +#TIMEGRP FFFS = FALLING FFS ("*"); // creates a falling group called FFFS +#TIMESPEC TSF2F = FROM : FFS : TO : FFS : 50 ns; // Flip-flips with the same edge +#TIMESPEC TSR2F = FROM : RFFS : TO : FFFS : 25 ns; // rising edge to falling edge +#TIMESPEC TSF2R = FROM : FFFS : TO : RFFS : 25 ns; // falling edge to rising edge +# +# --------------- +# Multiple Clocks +# --------------- +# Requires a combination of the 'Period' and 'FROM:TO' type time specifications +#NET clock1 TNM_NET = clk1_grp ; +#NET clock2 TNM_NET = clk2_grp ; +# +#TIMESPEC TS_clk1 = PERIOD : clk1_grp : 50 ; +#TIMESPEC TS_clk2 = PERIOD : clk2_grp : 30 ; +#TIMESPEC TS_ck1_2_ck2 = FROM : clk1_grp : TO : clk2_grp : 50 ; +#TIMESPEC TS_ck2_2_ck1 = FROM : clk2_grp : TO : clk1_grp : 30 ; +# +# +############################################################ +# CLOCK TO OUT specifications - Tco # +############################################################ +# +# from _________ /^^^^^\ --------\ +# ----------| D Q |-----{ LOGIC } -----| Pad > +# PLD | | \vvvvv/ --------/ +# ---|> CLK | +# clock | --------- +# -------- +# +# ---------------- +# OFFSET TIME-SPEC +# ---------------- +# To automatically include clock buffer/routing delay in your +# clock-to-out timing specifications, use OFFSET constraints . +# For an output where the maximum clock-to-out (Tco) is 25 ns: +# +#NET out_net_name OFFSET = OUT 25 AFTER clock_net_name ; +# +# -OR- +# +# ------------------ +# FROM:TO TIME-SPECs +# ------------------ +#TIMESPEC TSF2P = FROM : FFS : TO : PADS : 25 ns; +# Note that FROM: FFS : TO: PADS constraints start the delay analysis +# at the flip flop itself, and not the clock input pin. The recommended +# method to create a clock-to-out constraint is to use an OFFSET constraint. +# +# +############################################################ +# Pad to Flip-Flop speed specifications - Tsu # +############################################################ +# +# ------\ /^^^^^\ _________ into PLD +# |pad >-------{ LOGIC } -----| D Q |------ +# ------/ \vvvvv/ | | +# ---|> CLK | +# clock | --------- +# ---------------------------- +# +# ---------------- +# OFFSET TIME-SPEC +# ---------------- +# To automatically account for clock delay in your input setup timing +# specifications, use OFFSET constraints. +# For an input where the maximum setup time is 25 ns: +#NET in_net_name OFFSET = IN 25 BEFORE clock_net_name ; +# +# -OR- +# +# ------------------ +# FROM:TO TIME-SPECs +# ------------------ +#TIMESPEC TSP2F = FROM : PADS : TO : FFS : 25 ns; +# Note that FROM: PADS : TO: FFS constraints do not take into account any +# delay for the clock path. The recommended method to create an input +# setup time constraint is to use an OFFSET constraint. +# +# +############################################################ +# Pad to Pad speed specifications - Tpd # +############################################################ +# +# ------\ /^^^^^\ -------\ +# |pad >-------{ LOGIC } -----| pad > +# ------/ \vvvvv/ -------/ +# +# ------------------ +# FROM:TO TIME-SPECs +# ------------------ +#TIMESPEC TSP2P = FROM : PADS : TO : PADS : 125 ns; +# +# +############################################################ +# Other timing specifications # +############################################################ +# +# ------------- +# TIMING IGNORE +# ------------- +# If you can ignore timing of paths, use Timing Ignore (TIG). NOTE: The +# "*" character is a wild card, which can be used for bus names. A "?" +# character can be used to wild-card one character. +# Ignore timing of net reset_n: +#NET : reset_n : TIG ; +# +# Ignore data_reg(7:0) net in instance mux_mem: +#NET : mux_mem/data_reg* : TIG ; +# +# Ignore data_reg(7:0) net in instance mux_mem as related to a TIMESPEC +# named TS01 only: +#NET : mux_mem/data_reg* : TIG = TS01 ; +# +# Ignore data1_sig and data2_sig nets: +#NET : data?_sig : TIG ; +# +# --------------- +# PATH EXCEPTIONS +# --------------- +# If your design has outputs that can be slower than others, you can +# create specific timespecs similar to this example for output nets +# named out_data(7:0) and irq_n: +#TIMEGRP slow_outs = PADS(out_data* : irq_n) ; +#TIMEGRP fast_outs = PADS : EXCEPT : slow_outs ; +#TIMESPEC TS08 = FROM : FFS : TO : fast_outs : 22 ; +#TIMESPEC TS09 = FROM : FFS : TO : slow_outs : 75 ; +# +# If you have multi-cycle FF to FF paths, you can create a time group +# using either the TIMEGRP or TNM statements. +# +# WARNING: Many VHDL/Verilog synthesizers do not predictably name flip +# flop Q output nets. Most synthesizers do assign predictable instance +# names to flip flops, however. +# +# TIMEGRP example: +#TIMEGRP slowffs = FFS(inst_path/ff_q_output_net1* : +#inst_path/ff_q_output_net2*); +# +# TNM attached to instance example: +#INST inst_path/ff_instance_name1_reg* TNM = slowffs ; +#INST inst_path/ff_instance_name2_reg* TNM = slowffs ; +# +# If a FF clock-enable is used on all flip flops of a multi-cycle path, +# you can attach TNM to the clock enable net. NOTE: TNM attached to a +# net "forward traces" to any FF, LATCH, RAM, or PAD attached to the +# net. +#NET ff_clock_enable_net TNM = slowffs ; +# +# Example of using "slowffs" timegroup, in a FROM:TO timespec, with +# either of the three timegroup methods shown above: +#TIMESPEC TS10 = FROM : slowffs : TO : FFS : 100 ; +# +# Constrain the skew or delay associate with a net. +#NET any_net_name MAXSKEW = 7 ; +#NET any_net_name MAXDELAY = 20 ns; +# +# +# Constraint priority in your .ucf file is as follows: +# +# highest 1. Timing Ignore (TIG) +# 2. FROM : THRU : TO specs +# 3. FROM : TO specs +# lowest 4. PERIOD specs +# +# See the on-line "Library Reference Guide" document for +# additional timespec features and more information. +# +# +############################################################ +# # +# LOCATION and ATTRIBUTE SPECIFICATIONS # +# # +############################################################ +# Pin and CLB location locking constraints # +############################################################ +# +# ----------------------- +# Assign an IO pin number +# ----------------------- +#INST io_buf_instance_name LOC = P110 ; +#NET io_net_name LOC = P111 ; +# +# ----------------------- +# Assign a signal to a range of I/O pins +# ----------------------- +#NET "signal_name" LOC=P32, P33, P34; +# +# ----------------------- +# Place a logic element(called a BEL) in a specific CLB location. +# BEL = FF, LUT, RAM, etc... +# ----------------------- +#INST instance_path/BEL_inst_name LOC = CLB_R17C36 ; +# +# ----------------------- +# Place CLB in rectangular area from CLB R1C1 to CLB R5C7 +# ----------------------- +#INST /U1/U2/reg<0> LOC=clb_r1c1:clb_r5c7; +# +# ----------------------- +# Place hierarchical logic block in rectangular area from CLB R1C1 to CLB R5C7 +# ----------------------- +#INST /U1* LOC=clb_r1c1:clb_r5c7; +# +# ----------------------- +# Prohibit IO pin P26 or CLBR5C3 from being used: +# ----------------------- +#CONFIG PROHIBIT = P26 ; +#CONFIG PROHIBIT = CLB_R5C3 ; +# Config Prohibit is very important for forcing the software to not use critical +# configuration pins like INIT or DOUT on the FPGA. The Mode pins and JTAG +# Pins require a special pad so they will not be available to this constraint +# +# ----------------------- +# Assign an OBUF to be FAST or SLOW: +# ----------------------- +#INST obuf_instance_name FAST ; +#INST obuf_instance_name SLOW ; +# +# ----------------------- +# FPGAs only: IOB input Flip-flop delay specification +# ----------------------- +# Declare an IOB input FF delay (default = MAXDELAY). +# NOTE: MEDDELAY/NODELAY can be attached to a CLB FF that is pushed +# into an IOB by the "map -pr i" option. +#INST input_ff_instance_name MEDDELAY ; +#INST input_ff_instance_name NODELAY ; +# +# ----------------------- +# Assign Global Clock Buffers Lower Left Right Side +# ----------------------- +# INST gbuf1 LOC=SSW +# +# # +NET "WB_CLK_I" TNM_NET = "WB_CLK_I"; +TIMESPEC "TS_WB_CLK_I" = PERIOD "WB_CLK_I" 100 ns HIGH 50 %; Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bit =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bit (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bit (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bit Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/program.his =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/program.his =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/program.his (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/program.his (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/program.his Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.par =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.par (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.par (revision 22) @@ -0,0 +1,150 @@ +Release 3.1i - Par D.19 + +Thu Jan 09 22:23:54 2003 + +par -w -ol 2 -d 0 map.ncd xilinx.ncd xilinx.pcf + + +Constraints file: xilinx.pcf + +Loading device database for application par from file "map.ncd". + "UART" is an NCD, version 2.32, device xcs10, package tq144, speed -4 +Loading device for application par from file '4005e.nph' in environment +C:/Fndtn. +Device speed data version: x1_0.14.2.2 1.7 PRELIMINARY. + + +Device utilization summary: + + Number of External IOBs 28 out of 112 25% + Flops: 8 + Latches: 0 + Number of IOBs driving Global Buffers 2 out of 8 25% + + Number of CLBs 47 out of 196 23% + Total CLB Flops: 63 out of 392 16% + 4 input LUTs: 72 out of 392 18% + 3 input LUTs: 20 out of 196 10% + + Number of PRI-CLKs 2 out of 4 50% + Number of SEC-CLKs 2 out of 4 50% + + + +Overall effort level (-ol): 2 (set by user) +Placer effort level (-pl): 2 (set by user) +Placer cost table entry (-t): 1 +Router effort level (-rl): 2 (set by user) + +Starting initial Timing Analysis. REAL time: 2 secs +Finished initial Timing Analysis. REAL time: 2 secs + +Starting initial Placement phase. REAL time: 3 secs +Finished initial Placement phase. REAL time: 3 secs + +Starting Constructive Placer. REAL time: 3 secs +Placer score = 67440 +Placer score = 46740 +Placer score = 37440 +Placer score = 32040 +Placer score = 28980 +Placer score = 26640 +Placer score = 24540 +Placer score = 23520 +Placer score = 22440 +Placer score = 21960 +Placer score = 21420 +Placer score = 21300 +Placer score = 21060 +Placer score = 20820 +Finished Constructive Placer. REAL time: 4 secs + +Writing design to file "xilinx.ncd". + +Starting Optimizing Placer. REAL time: 4 secs +Optimizing +Swapped 18 comps. +Xilinx Placer [1] 19980 REAL time: 4 secs + +Finished Optimizing Placer. REAL time: 4 secs + +Writing design to file "xilinx.ncd". + +Total REAL time to Placer completion: 4 secs +Total CPU time to Placer completion: 5 secs + +0 connection(s) routed; 355 unrouted active, 2 unrouted PWR/GND. +Starting router resource preassignment +Completed router resource preassignment. REAL time: 4 secs +Starting iterative routing. +Routing active signals. +End of iteration 1 +355 successful; 0 unrouted active, + 2 unrouted PWR/GND; (0) REAL time: 5 secs +End of iteration 2 +355 successful; 0 unrouted active, + 2 unrouted PWR/GND; (0) REAL time: 5 secs +Constraints are met. +Routing PWR/GND nets. +Power and ground nets completely routed. +Writing design to file "xilinx.ncd". +Starting cleanup +Improving routing. +End of cleanup iteration 1 +357 successful; 0 unrouted; (0) REAL time: 7 secs +Writing design to file "xilinx.ncd". +Total REAL time: 7 secs +Total CPU time: 7 secs +End of route. 357 routed (100.00%); 0 unrouted. +No errors found. +Completely routed. + +Total REAL time to Router completion: 7 secs +Total CPU time to Router completion: 8 secs + +Generating PAR statistics. + + The Delay Summary Report + + The Score for this design is: 258 + + +The Number of signals not completely routed for this design is: 0 + + The Average Connection Delay for this design is: 1.638 ns + The Maximum Pin Delay is: 8.280 ns + The Average Connection Delay on the 10 Worst Nets is: 4.724 ns + + Listing Pin Delays by value: (ns) + + d < 2.00 < d < 4.00 < d < 6.00 < d < 8.00 < d < 9.00 d >= 9.00 + --------- --------- --------- --------- --------- --------- + 295 42 9 8 3 0 + +Timing Score: 0 + +Asterisk (*) preceding a constraint indicates it was not met. + +-------------------------------------------------------------------------------- + Constraint | Requested | Actual | Logic + | | | Levels +-------------------------------------------------------------------------------- + TS_WB_CLK_I = PERIOD TIMEGRP "WB_CLK_I" | | | + 100 nS HIGH 50.000 % | | | +-------------------------------------------------------------------------------- + + +All constraints were met. +Writing design to file "xilinx.ncd". + + +All signals are completely routed. + +Total REAL time to PAR completion: 8 secs +Total CPU time to PAR completion: 8 secs + +Placement: Completed - No errors found. +Routing: Completed - No errors found. +Timing: Completed - No errors found. + +PAR done. Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.imp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.imp =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.imp (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.imp (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.imp Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/rptbrwsr.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/rptbrwsr.dat =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/rptbrwsr.dat (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/rptbrwsr.dat (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/rptbrwsr.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ngm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ngm =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ngm (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ngm (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ngm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/bitgen.ut =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/bitgen.ut (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/bitgen.ut (revision 22) @@ -0,0 +1,13 @@ +-g ConfigRate:SLOW +-g TdoPin:PULLNONE +-g DonePin:PULLUP +-g CRC:enable +-g StartUpClk:CCLK +-g SyncToDone:no +-g DoneActive:C1 +-g OutputsActive:C2 +-g GSRInactive:C3 +-g ReadClk:CCLK +-g ReadCapture:enable +-g ReadAbort:disable + Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/command.his =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/command.his =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/command.his (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/command.his (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/command.his Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.sml =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.sml =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.sml (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.sml (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.sml Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.dly =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.dly (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.dly (revision 22) @@ -0,0 +1,772 @@ +Thu Jan 09 22:24:01 2003 + +File: xilinx.dly + + The 20 Worst Net Delays are: +------------------------------- +| Max Delay (ns) | Netname | +------------------------------- + 8.280 N_WB_RST_I + 7.057 EnabRx + 5.374 C5/N5 + 5.267 N298 + 4.381 Uart_RxUnit/C11/N5 + 3.925 C37 + 3.737 EnabTx + 3.510 Uart_TxUnit/C8/N5 + 2.989 N299 + 2.717 TxData<4> + 2.680 TxData<0> + 2.671 TxData<6> + 2.466 TxData<5> + 2.337 Uart_Rxrate/Cnt<4> + 2.280 Uart_TxUnit/C9/N5 + 2.279 N_IntRx_O + 2.188 N_RxD_PAD_I + 2.140 Uart_RxUnit/C12/N5 + 2.089 syn903 + 2.072 C7/N45 +--------------------------------- + +------------------------------------------------------------------------------- + Net Delays +------------------------------------------------------------------------------- + +BR_Clk_I + BR_Clk_I.CLKIN + 1.440 C594.I + +BR_Clk_I_BUFGed + C594.O + 0.877 EnabTx.K + 0.877 Uart_Txrate/Cnt<0>.K + 0.905 N_TxD_PAD_O.K + 0.905 Uart_TxUnit/C10/N6.K + 0.905 syn1133.K + 0.891 syn1134.K + 0.891 Uart_TxUnit/TReg<4>.K + 0.891 Uart_TxUnit/TReg<6>.K + 0.891 Uart_TxUnit/TBuff<0>.K + 0.891 Uart_TxUnit/TBuff<2>.K + 0.891 Uart_TxUnit/TBuff<4>.K + 0.891 Uart_TxUnit/TBuff<6>.K + 0.891 Uart_TxUnit/BitPos<2>.K + 0.905 Uart_TxUnit/BitPos<0>.K + 0.886 N298.K + 0.891 Uart_RxUnit/RReg<0>.K + 0.891 Uart_RxUnit/RReg<2>.K + 0.886 Uart_RxUnit/RReg<4>.K + 0.891 Uart_RxUnit/RReg<6>.K + 0.882 C7/N52.K + 0.886 C7/N35.K + 0.886 C7/N23.K + 0.872 C7/N29.K + 0.882 Uart_RxUnit/SampleCnt<0>.K + 0.891 Uart_RxUnit/BitPos<1>.K + 0.891 Uart_RxUnit/C315.K + 0.891 Uart_Rxrate/Cnt<0>.K + 0.891 Uart_Rxrate/Cnt<1>.K + 0.905 Uart_Rxrate/Cnt<3>.K + 0.905 Uart_Rxrate/Cnt<5>.K + 0.905 Uart_Rxrate/C64.K + 0.882 syn878.K + 0.905 Uart_TxUnit/C8/N5.K + +C37 + C37.X + 3.925 C5/N5.F4 + 1.334 C7/N35.F2 + 1.334 C7/N35.G2 + 1.480 C7/N29.F1 + 1.480 C7/N29.G1 + 1.480 C7/N23.F3 + 1.480 C7/N23.G3 + 2.591 Uart_RxUnit/n558.G1 + +C5/N5 + C5/N5.X + 3.897 WB_DAT_I<0>.EC + 4.746 WB_DAT_I<1>.EC + 5.374 WB_DAT_I<2>.EC + 4.032 WB_DAT_I<3>.EC + 4.411 WB_DAT_I<4>.EC + 4.937 WB_DAT_I<5>.EC + 5.374 WB_DAT_I<6>.EC + 3.134 WB_DAT_I<7>.EC + +C7/N11 + C7/N29.Y + 1.435 WB_DAT_O<6>.O + +C7/N17 + C7/N23.Y + 1.499 WB_DAT_O<5>.O + +C7/N23 + C7/N23.X + 1.513 WB_DAT_O<4>.O + +C7/N29 + C7/N29.X + 1.200 WB_DAT_O<3>.O + +C7/N35 + C7/N35.X + 1.200 WB_DAT_O<2>.O + +C7/N4 + C7/N35.Y + 1.435 WB_DAT_O<7>.O + +C7/N45 + C37.Y + 2.072 WB_DAT_O<1>.O + +C7/N52 + C7/N52.X + 1.513 WB_DAT_O<0>.O + +EnabRx + Uart_Rxrate/C64.YQ + 4.231 Uart_Txrate/Cnt<0>.C4 + 3.164 Uart_RxUnit/C10/N10.F2 + 3.164 Uart_RxUnit/C10/N10.G2 + 2.173 Uart_RxUnit/C15/N19.G1 + 3.327 Uart_RxUnit/C15/N19.C1 + 7.057 EnabTx.F4 + 3.327 Uart_RxUnit/C12/N5.G3 + +EnabTx + EnabTx.XQ + 3.737 N_TxD_PAD_O.C1 + 2.296 Uart_TxUnit/C10/N6.F2 + 2.296 Uart_TxUnit/C10/N6.G2 + +GLOBAL_LOGIC1 + PWR_VCC_4.Y + 1.124 Uart_TxUnit/SyncLoad/C1A.C2 + +GLOBAL_LOGIC1_0 + PWR_VCC_7.X + 1.464 C37.C1 + +N296 + Uart_TxUnit/C8/N5.Y + 1.644 IntTx_O.O + 1.463 C7/N52.F4 + +N298 + N298.XQ + 5.267 C598.I + +N298_BUFGed + C598.O + 0.984 C37.K + +N299 + C5/N5.XQ + 2.989 C599.I + +N299_BUFGed + C599.O + 0.984 Uart_TxUnit/SyncLoad/C1A.K + +N_IntRx_O + C37.YQ + 2.279 IntRx_O.O + 0.919 C37.G4 + +N_RxD_PAD_I + RxD_PAD_I.I1 + 2.188 syn1466.F4 + 2.056 syn1466.G3 + 1.815 Uart_RxUnit/RReg<0>.F1 + 1.815 Uart_RxUnit/RReg<0>.G1 + 1.373 Uart_RxUnit/RReg<2>.F1 + 1.373 Uart_RxUnit/RReg<2>.G1 + 1.988 Uart_RxUnit/RReg<4>.F4 + 1.988 Uart_RxUnit/RReg<4>.G4 + 1.815 Uart_RxUnit/RReg<6>.F1 + 1.815 Uart_RxUnit/RReg<6>.G1 + +N_TxD_PAD_O + N_TxD_PAD_O.XQ + 1.919 TxD_PAD_O.O + +N_WB_ADR_I<0> + WB_ADR_I<0>.I2 + 0.849 C37.F2 + 0.849 C37.G2 + 1.697 C7/N52.F3 + +N_WB_ADR_I<1> + WB_ADR_I<1>.I1 + 1.030 C37.F1 + 1.030 C37.G1 + 1.140 C7/N52.F1 + +N_WB_RST_I + WB_RST_I.I2 + 8.280 N_TxD_PAD_O.C3 + 7.969 Uart_TxUnit/C10/N6.C3 + 8.156 Uart_TxUnit/BitPos<2>.C1 + 8.156 Uart_TxUnit/BitPos<0>.C3 + 3.488 N298.C1 + 3.488 Uart_RxUnit/BitPos<1>.C3 + 3.488 Uart_RxUnit/C315.C3 + 7.714 Uart_TxUnit/C9/N5.F3 + 7.385 Uart_TxUnit/C8/N5.F3 + 2.353 Uart_RxUnit/n558.F1 + 3.562 Uart_RxUnit/n558.G4 + 7.969 Uart_RxUnit/C10/N10.F1 + 7.969 Uart_RxUnit/C10/N10.G1 + 3.764 C5/N5.F1 + 7.714 Uart_RxUnit/C12/N5.G1 + 6.460 syn878.C4 + +N_WB_STB_I + WB_STB_I.I2 + 1.122 WB_ACK_O.O + 1.255 C5/N5.F3 + 1.680 Uart_RxUnit/n558.G3 + +N_WB_WE_I + WB_WE_I.I2 + 1.372 C5/N5.F2 + 1.657 Uart_RxUnit/n558.G2 + +ReadA + Uart_RxUnit/n558.XQ + 0.822 Uart_RxUnit/n558.F2 + +RxData<0> + C7/N52.XQ + 0.822 C7/N52.F2 + +RxData<1> + C7/N52.YQ + 0.855 C37.G3 + +RxData<2> + C7/N35.YQ + 0.841 C7/N35.F3 + +RxData<3> + C7/N35.XQ + 1.126 C7/N29.F2 + +RxData<4> + C7/N23.XQ + 0.825 C7/N23.F2 + +RxData<5> + C7/N23.YQ + 0.825 C7/N23.G4 + +RxData<6> + C7/N29.XQ + 0.822 C7/N29.G2 + +RxData<7> + C7/N29.YQ + 0.879 C7/N35.G4 + +TxData<0> + WB_DAT_I<0>.I2 + 2.680 Uart_TxUnit/TBuff<0>.C4 + +TxData<1> + WB_DAT_I<1>.I2 + 1.656 Uart_TxUnit/TBuff<0>.C1 + +TxData<2> + WB_DAT_I<2>.I2 + 1.656 Uart_TxUnit/TBuff<2>.C3 + +TxData<3> + WB_DAT_I<3>.I2 + 1.495 Uart_TxUnit/TBuff<2>.C4 + +TxData<4> + WB_DAT_I<4>.I2 + 2.717 Uart_TxUnit/TBuff<4>.C1 + +TxData<5> + WB_DAT_I<5>.I2 + 2.466 Uart_TxUnit/TBuff<4>.C4 + +TxData<6> + WB_DAT_I<6>.I2 + 2.671 Uart_TxUnit/TBuff<6>.C4 + +TxData<7> + WB_DAT_I<7>.I2 + 1.686 Uart_TxUnit/TBuff<6>.C3 + +Uart_RxUnit/BitPos<0> + Uart_RxUnit/C315.XQ + 1.020 syn893.F4 + 1.020 syn893.G4 + 1.159 syn903.F4 + 1.159 syn903.G4 + 0.957 syn1466.F3 + 0.957 Uart_RxUnit/C315.F1 + 0.957 Uart_RxUnit/C315.G1 + 0.957 Uart_RxUnit/C315.C1 + 1.030 Uart_RxUnit/BitPos<1>.F2 + 1.163 syn878.G3 + +Uart_RxUnit/BitPos<1> + Uart_RxUnit/BitPos<1>.XQ + 1.084 syn1336.F4 + 1.409 syn878.F4 + 1.529 syn878.G2 + 1.803 syn893.F3 + 1.803 syn893.G3 + 1.803 syn903.F1 + 1.803 syn903.G1 + 1.144 Uart_RxUnit/C315.F4 + 1.144 Uart_RxUnit/C315.G4 + 1.144 Uart_RxUnit/C315.C4 + 1.176 Uart_RxUnit/BitPos<1>.F1 + +Uart_RxUnit/BitPos<2> + Uart_RxUnit/BitPos<1>.YQ + 1.368 syn1336.F1 + 1.460 syn878.F3 + 1.651 syn878.G4 + 1.368 Uart_RxUnit/C315.F3 + 1.368 Uart_RxUnit/C315.G3 + 0.956 Uart_RxUnit/BitPos<1>.G4 + +Uart_RxUnit/BitPos<3> + syn878.XQ + 1.754 N298.C2 + 1.018 syn878.F1 + 1.018 syn878.G1 + 1.521 Uart_RxUnit/C315.F2 + 1.521 Uart_RxUnit/C315.G2 + +Uart_RxUnit/C10/N10 + Uart_RxUnit/C10/N10.X + 1.124 Uart_RxUnit/SampleCnt<0>.C4 + +Uart_RxUnit/C11/N5 + Uart_RxUnit/C10/N10.Y + 1.772 C7/N52.C1 + 3.998 C7/N35.C1 + 3.646 C7/N23.C4 + 4.381 C7/N29.C4 + +Uart_RxUnit/C12/N5 + Uart_RxUnit/C12/N5.X + 1.987 Uart_RxUnit/RReg<0>.C1 + 2.122 Uart_RxUnit/RReg<2>.C1 + 2.140 Uart_RxUnit/RReg<4>.C2 + 2.122 Uart_RxUnit/RReg<6>.C1 + +Uart_RxUnit/C13/N3 + Uart_RxUnit/C15/N19.Y + 1.144 N298.C4 + +Uart_RxUnit/C15/N19 + Uart_RxUnit/C15/N19.X + 1.041 Uart_RxUnit/BitPos<1>.C2 + 1.268 Uart_RxUnit/C315.C2 + 1.252 syn878.C1 + +Uart_RxUnit/C315 + Uart_RxUnit/C315.X + 1.087 syn1466.F2 + 1.069 syn1466.G4 + 1.573 Uart_RxUnit/C10/N10.G3 + +Uart_RxUnit/RReg<0> + Uart_RxUnit/RReg<0>.XQ + 0.982 C7/N52.C3 + 1.157 Uart_RxUnit/RReg<0>.F4 + +Uart_RxUnit/RReg<1> + Uart_RxUnit/RReg<0>.YQ + 1.309 C7/N52.C4 + 0.995 Uart_RxUnit/RReg<0>.G4 + +Uart_RxUnit/RReg<2> + Uart_RxUnit/RReg<2>.XQ + 1.000 C7/N35.C2 + 0.906 Uart_RxUnit/RReg<2>.F2 + +Uart_RxUnit/RReg<3> + Uart_RxUnit/RReg<2>.YQ + 1.017 C7/N35.C4 + 0.917 Uart_RxUnit/RReg<2>.G4 + +Uart_RxUnit/RReg<4> + Uart_RxUnit/RReg<4>.XQ + 0.961 C7/N23.C1 + 0.880 Uart_RxUnit/RReg<4>.F1 + +Uart_RxUnit/RReg<5> + Uart_RxUnit/RReg<4>.YQ + 0.874 C7/N23.C3 + 1.151 Uart_RxUnit/RReg<4>.G2 + +Uart_RxUnit/RReg<6> + Uart_RxUnit/RReg<6>.XQ + 1.111 C7/N29.C1 + 0.896 Uart_RxUnit/RReg<6>.F2 + +Uart_RxUnit/RReg<7> + Uart_RxUnit/RReg<6>.YQ + 1.117 C7/N29.C2 + 0.907 Uart_RxUnit/RReg<6>.G3 + +Uart_RxUnit/SampleCnt<0> + Uart_RxUnit/SampleCnt<0>.XQ + 0.930 Uart_RxUnit/SampleCnt<0>.F1 + 0.930 Uart_RxUnit/SampleCnt<0>.G1 + 1.087 Uart_RxUnit/C15/N19.F1 + 1.164 Uart_RxUnit/C12/N5.G4 + +Uart_RxUnit/SampleCnt<1> + Uart_RxUnit/SampleCnt<0>.YQ + 0.980 Uart_RxUnit/C15/N19.F3 + 1.495 Uart_RxUnit/C12/N5.G2 + 1.123 Uart_RxUnit/SampleCnt<0>.G4 + +Uart_RxUnit/n558 + Uart_RxUnit/n558.X + 1.986 C37.C3 + +Uart_Rxrate/C126/N12 + Uart_Rxrate/Cnt<3>.COUT + 0.030 Uart_Rxrate/Cnt<5>.CIN + +Uart_Rxrate/C126/N17 + Uart_Rxrate/Cnt<5>.COUT + 0.030 Uart_Rxrate/C64.CIN + +Uart_Rxrate/C126/N2 + Uart_Rxrate/Cnt<0>.COUT + 0.030 Uart_Rxrate/Cnt<1>.CIN + +Uart_Rxrate/C126/N7 + Uart_Rxrate/Cnt<1>.COUT + 0.030 Uart_Rxrate/Cnt<3>.CIN + +Uart_Rxrate/C64 + Uart_Rxrate/C64.Y + 1.301 Uart_Rxrate/Cnt<1>.F2 + 1.655 Uart_Rxrate/Cnt<1>.G1 + 1.301 Uart_Rxrate/Cnt<3>.F2 + 1.306 Uart_Rxrate/Cnt<3>.G1 + 1.191 Uart_Rxrate/Cnt<5>.F2 + 1.306 Uart_Rxrate/Cnt<5>.G1 + 1.136 Uart_Rxrate/C64.F3 + +Uart_Rxrate/C67 + Uart_Rxrate/C67.Y + 1.025 Uart_Rxrate/Cnt<0>.G3 + 0.922 Uart_Rxrate/C64.G1 + +Uart_Rxrate/Cnt<0> + Uart_Rxrate/Cnt<0>.YQ + 1.147 Uart_Rxrate/Cnt<0>.G4 + 1.495 Uart_Rxrate/Cnt<1>.F3 + 1.495 Uart_Rxrate/Cnt<1>.G3 + 1.788 Uart_Rxrate/Cnt<3>.F3 + 1.788 Uart_Rxrate/Cnt<3>.G3 + 1.788 Uart_Rxrate/Cnt<5>.F3 + 1.788 Uart_Rxrate/Cnt<5>.G3 + 1.299 Uart_Rxrate/C64.F2 + 1.185 Uart_Rxrate/C64.C1 + +Uart_Rxrate/Cnt<1> + Uart_Rxrate/Cnt<1>.XQ + 1.086 Uart_Rxrate/Cnt<1>.F1 + 1.721 Uart_Rxrate/Cnt<0>.G2 + 2.027 Uart_Rxrate/C64.G2 + +Uart_Rxrate/Cnt<2> + Uart_Rxrate/Cnt<1>.YQ + 0.898 Uart_Rxrate/Cnt<1>.G4 + 1.114 Uart_Rxrate/C67.G4 + +Uart_Rxrate/Cnt<3> + Uart_Rxrate/Cnt<3>.XQ + 0.910 Uart_Rxrate/Cnt<3>.F1 + 1.521 Uart_Rxrate/C67.G1 + +Uart_Rxrate/Cnt<4> + Uart_Rxrate/Cnt<3>.YQ + 0.828 Uart_Rxrate/Cnt<3>.G4 + 2.337 Uart_Rxrate/C67.G2 + +Uart_Rxrate/Cnt<5> + Uart_Rxrate/Cnt<5>.XQ + 0.871 Uart_Rxrate/Cnt<5>.F1 + 1.066 Uart_Rxrate/C67.G3 + +Uart_Rxrate/Cnt<6> + Uart_Rxrate/Cnt<5>.YQ + 0.984 Uart_Rxrate/Cnt<5>.G4 + 1.088 Uart_Rxrate/C67.F1 + +Uart_Rxrate/Cnt<7> + Uart_Rxrate/C64.XQ + 0.808 Uart_Rxrate/C67.F3 + 0.808 Uart_Rxrate/C64.F1 + +Uart_TxUnit/BitPos<0> + Uart_TxUnit/BitPos<0>.XQ + 0.893 Uart_TxUnit/BitPos<0>.F2 + 0.907 Uart_TxUnit/BitPos<0>.G1 + 0.916 Uart_TxUnit/BitPos<2>.F2 + 0.916 Uart_TxUnit/BitPos<2>.G2 + 0.907 N_TxD_PAD_O.F1 + 0.907 N_TxD_PAD_O.G1 + 0.893 Uart_TxUnit/C10/N6.F4 + 0.893 Uart_TxUnit/C10/N6.G4 + +Uart_TxUnit/BitPos<1> + Uart_TxUnit/BitPos<0>.YQ + 1.455 syn785.F3 + 1.455 syn785.C3 + 1.300 Uart_TxUnit/BitPos<2>.F4 + 1.300 Uart_TxUnit/BitPos<2>.G4 + 1.225 Uart_TxUnit/BitPos<0>.G4 + 1.411 syn1134.F2 + 1.411 syn1134.G2 + 1.570 syn1133.F3 + +Uart_TxUnit/BitPos<2> + Uart_TxUnit/BitPos<2>.XQ + 1.440 syn785.F4 + 1.361 syn785.G3 + 0.965 Uart_TxUnit/BitPos<2>.F1 + 0.965 Uart_TxUnit/BitPos<2>.G1 + 0.965 Uart_TxUnit/BitPos<0>.G3 + 1.209 syn1134.F1 + 1.209 syn1134.G1 + 1.440 syn1133.F2 + +Uart_TxUnit/BitPos<3> + Uart_TxUnit/BitPos<2>.YQ + 1.193 Uart_TxUnit/BitPos<0>.G2 + 0.895 Uart_TxUnit/BitPos<2>.G3 + 1.098 syn1134.G3 + +Uart_TxUnit/C10/N6 + Uart_TxUnit/C10/N6.X + 0.793 Uart_TxUnit/C9/N5.F4 + 0.793 Uart_TxUnit/C9/N5.G4 + +Uart_TxUnit/C11/N6 + Uart_TxUnit/C9/N5.Y + 0.802 Uart_TxUnit/C10/N6.C2 + +Uart_TxUnit/C14/N19 + Uart_TxUnit/C10/N6.Y + 0.879 Uart_TxUnit/BitPos<2>.C2 + 0.879 Uart_TxUnit/BitPos<0>.C2 + +Uart_TxUnit/C8/N5 + Uart_TxUnit/C8/N5.X + 3.510 Uart_TxUnit/TBuff<0>.C3 + 2.011 Uart_TxUnit/TBuff<2>.C1 + 3.038 Uart_TxUnit/TBuff<4>.C2 + 2.011 Uart_TxUnit/TBuff<6>.C1 + +Uart_TxUnit/C9/N5 + Uart_TxUnit/C9/N5.X + 2.160 syn1133.C3 + 1.944 syn1134.C1 + 2.280 Uart_TxUnit/TReg<4>.C4 + 2.160 Uart_TxUnit/TReg<6>.C1 + +Uart_TxUnit/LoadS + Uart_TxUnit/C8/N5.XQ + 0.856 Uart_TxUnit/C8/N5.F2 + 0.856 Uart_TxUnit/C8/N5.G2 + 0.856 Uart_TxUnit/C8/N5.C2 + 0.870 Uart_TxUnit/C9/N5.G1 + +Uart_TxUnit/SyncLoad/C1A + Uart_TxUnit/SyncLoad/C1A.YQ + 0.879 Uart_TxUnit/C8/N5.C4 + +Uart_TxUnit/SyncLoad/R + Uart_TxUnit/C8/N5.YQ + 0.810 Uart_TxUnit/SyncLoad/C1A.C1 + 0.810 Uart_TxUnit/C8/N5.C3 + +Uart_TxUnit/TBufL + Uart_TxUnit/C10/N6.XQ + 0.833 Uart_TxUnit/C10/N6.F1 + 0.833 Uart_TxUnit/C10/N6.G1 + 1.318 Uart_TxUnit/C8/N5.G3 + +Uart_TxUnit/TBuff<0> + Uart_TxUnit/TBuff<0>.XQ + 0.858 syn1133.C2 + +Uart_TxUnit/TBuff<1> + Uart_TxUnit/TBuff<0>.YQ + 0.810 syn1133.C1 + +Uart_TxUnit/TBuff<2> + Uart_TxUnit/TBuff<2>.YQ + 1.077 syn1134.C3 + +Uart_TxUnit/TBuff<3> + Uart_TxUnit/TBuff<2>.XQ + 1.398 syn1134.C4 + +Uart_TxUnit/TBuff<4> + Uart_TxUnit/TBuff<4>.XQ + 0.961 Uart_TxUnit/TReg<4>.C1 + +Uart_TxUnit/TBuff<5> + Uart_TxUnit/TBuff<4>.YQ + 0.855 Uart_TxUnit/TReg<4>.C3 + +Uart_TxUnit/TBuff<6> + Uart_TxUnit/TBuff<6>.XQ + 0.822 Uart_TxUnit/TReg<6>.C4 + +Uart_TxUnit/TBuff<7> + Uart_TxUnit/TBuff<6>.YQ + 0.855 Uart_TxUnit/TReg<6>.C3 + +Uart_TxUnit/TReg<0> + syn1133.XQ + 0.961 syn785.F1 + +Uart_TxUnit/TReg<1> + syn1133.YQ + 0.825 syn1133.F4 + +Uart_TxUnit/TReg<2> + syn1134.XQ + 1.268 syn785.G2 + +Uart_TxUnit/TReg<3> + syn1134.YQ + 0.810 syn1134.F3 + +Uart_TxUnit/TReg<4> + Uart_TxUnit/TReg<4>.YQ + 1.008 syn785.F2 + +Uart_TxUnit/TReg<5> + Uart_TxUnit/TReg<4>.XQ + 1.297 syn1133.F1 + +Uart_TxUnit/TReg<6> + Uart_TxUnit/TReg<6>.YQ + 0.879 syn785.G4 + +Uart_TxUnit/TReg<7> + Uart_TxUnit/TReg<6>.XQ + 0.822 syn1134.F4 + +Uart_Txrate/Cnt<0> + Uart_Txrate/Cnt<0>.XQ + 0.856 Uart_Txrate/Cnt<0>.F2 + 0.856 Uart_Txrate/Cnt<0>.G2 + 0.870 EnabTx.F1 + +Uart_Txrate/Cnt<1> + Uart_Txrate/Cnt<0>.YQ + 0.858 EnabTx.F2 + 0.867 Uart_Txrate/Cnt<0>.G3 + +WB_CLK_I + WB_CLK_I.CLKIN + 1.440 C596.I + +WB_CLK_I_BUFGed + C596.O + 0.877 C5/N5.K + 0.881 WB_DAT_I<0>.IK + 0.877 WB_DAT_I<1>.IK + 0.881 WB_DAT_I<2>.IK + 0.881 WB_DAT_I<3>.IK + 0.881 WB_DAT_I<4>.IK + 0.881 WB_DAT_I<5>.IK + 0.881 WB_DAT_I<6>.IK + 0.877 WB_DAT_I<7>.IK + 0.877 Uart_RxUnit/n558.K + +syn1133 + syn1133.X + 1.106 N_TxD_PAD_O.F4 + +syn1134 + syn1134.X + 0.827 N_TxD_PAD_O.F3 + +syn1336 + syn1336.X + 1.492 Uart_RxUnit/RReg<0>.F2 + 1.492 Uart_RxUnit/RReg<0>.G2 + 1.438 Uart_RxUnit/RReg<2>.F3 + 1.438 Uart_RxUnit/RReg<2>.G3 + 1.343 Uart_RxUnit/RReg<4>.F3 + 1.343 Uart_RxUnit/RReg<4>.G3 + 1.492 Uart_RxUnit/RReg<6>.F4 + 1.492 Uart_RxUnit/RReg<6>.G4 + +syn1466 + syn1466.X + 1.032 Uart_RxUnit/C15/N19.F2 + +syn755 + syn1134.Y + 1.197 N_TxD_PAD_O.F2 + 1.876 Uart_TxUnit/C10/N6.F3 + 1.876 Uart_TxUnit/C10/N6.G3 + +syn785 + syn785.X + 0.793 N_TxD_PAD_O.C4 + +syn854 + Uart_RxUnit/C315.Y + 1.175 Uart_RxUnit/BitPos<1>.F3 + 1.419 Uart_RxUnit/C15/N19.F4 + 1.419 Uart_RxUnit/C15/N19.G4 + 1.541 Uart_RxUnit/C12/N5.F3 + 1.351 syn1466.G2 + +syn862 + syn903.Y + 1.325 Uart_RxUnit/RReg<0>.F3 + 1.453 Uart_RxUnit/RReg<4>.F2 + +syn878 + syn878.X + 1.174 syn1466.F1 + 1.272 Uart_RxUnit/C12/N5.F2 + +syn883 + syn893.Y + 1.138 Uart_RxUnit/BitPos<1>.G2 + 1.096 Uart_RxUnit/RReg<0>.G3 + 1.096 Uart_RxUnit/RReg<4>.G1 + +syn893 + syn893.X + 1.398 Uart_RxUnit/RReg<2>.F4 + 1.285 Uart_RxUnit/RReg<6>.F3 + +syn903 + syn903.X + 2.089 Uart_RxUnit/RReg<2>.G2 + 1.866 Uart_RxUnit/RReg<6>.G2 + +syn944 + syn1466.Y + 1.083 Uart_RxUnit/SampleCnt<0>.F2 + 1.083 Uart_RxUnit/SampleCnt<0>.G2 + Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.obf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.obf =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.obf (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.obf (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.obf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.cfg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.cfg =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.cfg (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.cfg (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.cfg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.rbf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.rbf =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.rbf (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.rbf (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.rbf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.mrp =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.mrp (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.mrp (revision 22) @@ -0,0 +1,269 @@ + +Xilinx Mapping Report File for Design 'UART' +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +Design Information +------------------ +Command Line : m1map -p xcs10-4-tq144 -o map.ncd xilinx.ngd xilinx.pcf +Target Device : xs10 +Target Package : tq144 +Target Speed : -4 +Mapper Version : spartan -- D.19 + +Design Summary +-------------- + Number of errors: 0 + Number of warnings: 1 + Number of CLBs: 47 out of 196 23% + CLB Flip Flops: 63 + 4 input LUTs: 72 (1 used as route-throughs) + 3 input LUTs: 20 (13 used as route-throughs) + Number of bonded IOBs: 28 out of 111 25% + IOB Flops: 8 + IOB Latches: 0 + Number of clock IOB pads: 2 out of 8 25% + Number of primary CLKs: 2 out of 4 50% + Number of secondary CLKs: 2 out of 4 50% +Total equivalent gate count for design: 932 +Additional JTAG gate count for IOBs: 1344 + +Table of Contents +----------------- +Section 1 - Errors +Section 2 - Warnings +Section 3 - Design Attributes +Section 4 - Removed Logic Summary +Section 5 - Removed Logic +Section 6 - Added Logic +Section 7 - Expanded Logic +Section 8 - Signal Cross-Reference +Section 9 - Symbol Cross-Reference +Section 10 - IOB Properties +Section 11 - RPMs +Section 12 - Guide Report +Section 13 - Area Group Summary + +Section 1 - Errors +------------------ + +Section 2 - Warnings +-------------------- +WARNING:OldMap:78 - All of the external outputs in this design are using + slew-rate-limited output drivers. The delay on speed critical outputs can be + dramatically reduced by designating them as fast outputs in the original + design. Please see your vendor interface documentation for specific + information on how to do this within your design-entry tool. + Note: You should be careful not to designate too many outputs which switch + together as fast, because this can cause excessive ground bounce. For more + information on this subject, please refer to the IOB switching characteristic + guidelines for the device you are using in the Programmable Logic Data Book. + +Section 3 - Design Attributes +----------------------------- + +Section 4 - Removed Logic Summary +--------------------------------- + 63 block(s) removed + 18 block(s) optimized away + 63 signal(s) removed + +Section 5 - Removed Logic +------------------------- + +The trimmed logic reported below is either: + 1. part of a cycle + 2. part of disabled logic + 3. a side-effect of other trimmed logic + +The signal "N308" is unused and has been removed. + Unused block "C669" (GND) removed. +The signal "N309" is unused and has been removed. + Unused block "C670" (GND) removed. +The signal "N310" is unused and has been removed. + Unused block "C671" (GND) removed. +The signal "N311" is unused and has been removed. + Unused block "C672" (GND) removed. +The signal "N312" is unused and has been removed. + Unused block "C673" (GND) removed. +The signal "N313" is unused and has been removed. + Unused block "C674" (GND) removed. +The signal "N314" is unused and has been removed. + Unused block "C675" (GND) removed. +The signal "N315" is unused and has been removed. + Unused block "C676" (GND) removed. +The signal "N316" is unused and has been removed. + Unused block "C677" (GND) removed. +The signal "N317" is unused and has been removed. + Unused block "C678" (GND) removed. +The signal "N318" is unused and has been removed. + Unused block "C679" (GND) removed. +The signal "N319" is unused and has been removed. + Unused block "C680" (GND) removed. +The signal "N320" is unused and has been removed. + Unused block "C681" (GND) removed. +The signal "N321" is unused and has been removed. + Unused block "C682" (GND) removed. +The signal "N322" is unused and has been removed. + Unused block "C683" (GND) removed. +The signal "N323" is unused and has been removed. + Unused block "C684" (GND) removed. +The signal "N324" is unused and has been removed. + Unused block "C685" (GND) removed. +The signal "N325" is unused and has been removed. + Unused block "C686" (GND) removed. +The signal "N326" is unused and has been removed. + Unused block "C687" (GND) removed. +The signal "N327" is unused and has been removed. + Unused block "C688" (GND) removed. +The signal "N328" is unused and has been removed. + Unused block "C689" (GND) removed. +The signal "N329" is unused and has been removed. + Unused block "C690" (GND) removed. +The signal "N330" is unused and has been removed. + Unused block "C691" (GND) removed. +The signal "N331" is unused and has been removed. + Unused block "C692" (GND) removed. +The signal "N332" is unused and has been removed. + Unused block "C693" (GND) removed. +The signal "N333" is unused and has been removed. + Unused block "C694" (GND) removed. +The signal "N334" is unused and has been removed. + Unused block "C695" (GND) removed. +The signal "N335" is unused and has been removed. + Unused block "C696" (GND) removed. +The signal "N336" is unused and has been removed. + Unused block "C697" (GND) removed. +The signal "N337" is unused and has been removed. + Unused block "C698" (GND) removed. +The signal "N338" is unused and has been removed. + Unused block "C699" (GND) removed. +The signal "N339" is unused and has been removed. + Unused block "C700" (GND) removed. +The signal "N340" is unused and has been removed. + Unused block "C701" (GND) removed. +The signal "N341" is unused and has been removed. + Unused block "C702" (GND) removed. +The signal "N342" is unused and has been removed. + Unused block "C703" (GND) removed. +The signal "N343" is unused and has been removed. + Unused block "C704" (GND) removed. +The signal "N344" is unused and has been removed. + Unused block "C705" (GND) removed. +The signal "N345" is unused and has been removed. + Unused block "C706" (GND) removed. +The signal "N346" is unused and has been removed. + Unused block "C707" (GND) removed. +The signal "N347" is unused and has been removed. + Unused block "C708" (GND) removed. +The signal "N348" is unused and has been removed. + Unused block "C709" (GND) removed. +The signal "N349" is unused and has been removed. + Unused block "C710" (GND) removed. +The signal "N350" is unused and has been removed. + Unused block "C711" (GND) removed. +The signal "N351" is unused and has been removed. + Unused block "C712" (GND) removed. +The signal "N352" is unused and has been removed. + Unused block "C713" (GND) removed. +The signal "N353" is unused and has been removed. + Unused block "C714" (GND) removed. +The signal "N354" is unused and has been removed. + Unused block "C715" (GND) removed. +The signal "N355" is unused and has been removed. + Unused block "C716" (GND) removed. +The signal "N356" is unused and has been removed. + Unused block "C717" (GND) removed. +The signal "N357" is unused and has been removed. + Unused block "C718" (GND) removed. +The signal "N358" is unused and has been removed. + Unused block "C719" (GND) removed. +The signal "N359" is unused and has been removed. + Unused block "C720" (GND) removed. +The signal "N360" is unused and has been removed. + Unused block "C721" (GND) removed. +The signal "N361" is unused and has been removed. + Unused block "C722" (GND) removed. +The signal "N362" is unused and has been removed. + Unused block "C723" (GND) removed. +The signal "N363" is unused and has been removed. + Unused block "C724" (GND) removed. +The signal "N364" is unused and has been removed. + Unused block "C725" (GND) removed. +The signal "N365" is unused and has been removed. + Unused block "C726" (GND) removed. +The signal "N366" is unused and has been removed. + Unused block "C727" (GND) removed. +The signal "N367" is unused and has been removed. + Unused block "C728" (GND) removed. +The signal "N368" is unused and has been removed. + Unused block "C729" (GND) removed. +The signal "N369" is unused and has been removed. + Unused block "C730" (GND) removed. +The signal "N370" is unused and has been removed. + Unused block "C731" (GND) removed. + +Optimized Block(s): +TYPE BLOCK +GND C668 +vcc LoadA_reg/$1I37 +vcc ReadA_reg/$1I37 +vcc Uart_Rxrate/Cnt_reg<0>/$1I37 +vcc Uart_Rxrate/Cnt_reg<1>/$1I37 +vcc Uart_Rxrate/Cnt_reg<2>/$1I37 +vcc Uart_Rxrate/Cnt_reg<3>/$1I37 +vcc Uart_Rxrate/Cnt_reg<4>/$1I37 +vcc Uart_Rxrate/Cnt_reg<5>/$1I37 +vcc Uart_Rxrate/Cnt_reg<6>/$1I37 +vcc Uart_Rxrate/Cnt_reg<7>/$1I37 +vcc Uart_Rxrate/O_reg/$1I37 +vcc Uart_RxUnit/RxAv_reg/$1I37 +vcc Uart_Txrate/O_reg/$1I37 +vcc Uart_TxUnit/SyncLoad/C1A_reg/$1I37 +vcc Uart_TxUnit/SyncLoad/C1S_reg/$1I37 +vcc Uart_TxUnit/SyncLoad/R_reg/$1I37 +VCC C667 + +Section 6 - Added Logic +----------------------- + +Section 7 - Expanded Logic +-------------------------- +To enable this section, set the detailed map report option and rerun map. + +Section 8 - Signal Cross-Reference +---------------------------------- +To enable this section, set the detailed map report option and rerun map. + +Section 9 - Symbol Cross-Reference +---------------------------------- +To enable this section, set the detailed map report option and rerun map. + +Section 10 - IOB Properties +--------------------------- +"IntRx_O" (IOB) : SLEW=SLOW +"IntTx_O" (IOB) : SLEW=SLOW +"TxD_PAD_O" (IOB) : SLEW=SLOW +"WB_ACK_O" (IOB) : SLEW=SLOW +"WB_DAT_I<0>" (IOB) : INFF +"WB_DAT_I<1>" (IOB) : INFF +"WB_DAT_I<2>" (IOB) : INFF +"WB_DAT_I<3>" (IOB) : INFF +"WB_DAT_I<4>" (IOB) : INFF +"WB_DAT_I<5>" (IOB) : INFF +"WB_DAT_I<6>" (IOB) : INFF +"WB_DAT_I<7>" (IOB) : INFF +"WB_DAT_O<0>" (IOB) : SLEW=SLOW +"WB_DAT_O<1>" (IOB) : SLEW=SLOW +"WB_DAT_O<2>" (IOB) : SLEW=SLOW +"WB_DAT_O<3>" (IOB) : SLEW=SLOW +"WB_DAT_O<4>" (IOB) : SLEW=SLOW +"WB_DAT_O<5>" (IOB) : SLEW=SLOW +"WB_DAT_O<6>" (IOB) : SLEW=SLOW +"WB_DAT_O<7>" (IOB) : SLEW=SLOW + +Section 11 - RPMs +----------------- + +Section 12 - Guide Report +------------------------- +Guide not run on this design. Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/fe.log =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/fe.log (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/fe.log (revision 22) @@ -0,0 +1,322 @@ +ngdbuild -p xcs10-4-tq144 -uc xilinx.ucf -dd .. c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf xilinx.ngd +Release 3.1i - ngdbuild D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +Command Line: ngdbuild -p xcs10-4-tq144 -uc xilinx.ucf -dd .. +c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf xilinx.ngd + +Launcher: Executing edif2ngd +"c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf" +"C:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xproj\ver1\xilinx.ngo" +Release 3.1i - edif2ngd D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. +Writing the design to +"C:/Phili/MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo"... +Reading NGO file +"C:/Phili/MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo" ... +Reading component libraries for design expansion... + +Annotating constraints to design from file "xilinx.ucf" ... + +Checking timing specifications ... + +Checking expanded design ... + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGD file "xilinx.ngd" ... + +Writing NGDBUILD log file "xilinx.bld"... + +NGDBUILD done. + +================================================== + +ngdbuild -p xcs10-4-tq144 -uc xilinx.ucf -dd .. c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf xilinx.ngd +Release 3.1i - ngdbuild D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +Command Line: ngdbuild -p xcs10-4-tq144 -uc xilinx.ucf -dd .. +c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf xilinx.ngd + +Launcher: Executing edif2ngd +"c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf" +"C:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xproj\ver1\xilinx.ngo" +Release 3.1i - edif2ngd D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. +Writing the design to +"C:/Phili/MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo"... +Reading NGO file +"C:/Phili/MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo" ... +Reading component libraries for design expansion... + +Annotating constraints to design from file "xilinx.ucf" ... + +Checking timing specifications ... + +Checking expanded design ... + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGD file "xilinx.ngd" ... + +Writing NGDBUILD log file "xilinx.bld"... + +NGDBUILD done. + +================================================== + +map -p xcs10-4-tq144 -o map.ncd xilinx.ngd xilinx.pcf +Release 3.1i - Map D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. +Reading NGD file "xilinx.ngd"... +Using target part "s10tq144-4". +MAP spartan directives: + Partname = "xcs10-4-tq144". + Covermode = "area". + Pack Unrelated Logic into CLBs targeting 97% of CLB resources. +Processing logical timing constraints... +Verifying F/HMAP validity based on pre-trimmed logic... +Removing unused logic... +Packing logic in CLBs... + Running cover... + Undirected packing... +Running physical design DRC... + +Design Summary: + Number of errors: 0 + Number of warnings: 1 + Number of CLBs: 47 out of 196 23% + CLB Flip Flops: 63 + 4 input LUTs: 72 (1 used as route-throughs) + 3 input LUTs: 20 (13 used as route-throughs) + Number of bonded IOBs: 28 out of 111 25% + IOB Flops: 8 + IOB Latches: 0 + Number of clock IOB pads: 2 out of 8 25% + Number of primary CLKs: 2 out of 4 50% + Number of secondary CLKs: 2 out of 4 50% +Total equivalent gate count for design: 932 +Additional JTAG gate count for IOBs: 1344 +Writing design file "map.ncd"... + +Removed Logic Summary: + 63 block(s) removed + 18 block(s) optimized away + 63 signal(s) removed + +Mapping completed. +See MAP report file "map.mrp" for details. + +================================================== + +par -w -ol 2 -d 0 map.ncd xilinx.ncd xilinx.pcf +Release 3.1i - Par D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + + + + +Constraints file: xilinx.pcf + +Loading device database for application par from file "map.ncd". + "UART" is an NCD, version 2.32, device xcs10, package tq144, speed -4 +Loading device for application par from file '4005e.nph' in environment +C:/Fndtn. +Device speed data version: x1_0.14.2.2 1.7 PRELIMINARY. + + +Resolving physical constraints. +Finished resolving physical constraints. + +Device utilization summary: + + Number of External IOBs 28 out of 112 25% + Flops: 8 + Latches: 0 + Number of IOBs driving Global Buffers 2 out of 8 25% + + Number of CLBs 47 out of 196 23% + Total CLB Flops: 63 out of 392 16% + 4 input LUTs: 72 out of 392 18% + 3 input LUTs: 20 out of 196 10% + + Number of PRI-CLKs 2 out of 4 50% + Number of SEC-CLKs 2 out of 4 50% + + + +Overall effort level (-ol): 2 (set by user) +Placer effort level (-pl): 2 (set by user) +Placer cost table entry (-t): 1 +Router effort level (-rl): 2 (set by user) + +Starting initial Timing Analysis. REAL time: 2 secs +Finished initial Timing Analysis. REAL time: 2 secs + +Starting initial Placement phase. REAL time: 3 secs +Finished initial Placement phase. REAL time: 3 secs + +Starting Constructive Placer. REAL time: 3 secs +Placer score = 67440 +Placer score = 46740 +Placer score = 37440 +Placer score = 32040 +Placer score = 28980 +Placer score = 26640 +Placer score = 24540 +Placer score = 23520 +Placer score = 22440 +Placer score = 21960 +Placer score = 21420 +Placer score = 21300 +Placer score = 21060 +Placer score = 20820 +Finished Constructive Placer. REAL time: 4 secs + +Writing design to file "xilinx.ncd". + +Starting Optimizing Placer. REAL time: 4 secs +Optimizing +Swapped 18 comps. +Xilinx Placer [1] 19980 REAL time: 4 secs + +Finished Optimizing Placer. REAL time: 4 secs + +Writing design to file "xilinx.ncd". + +Total REAL time to Placer completion: 4 secs +Total CPU time to Placer completion: 5 secs + +0 connection(s) routed; 355 unrouted active, 2 unrouted PWR/GND. +Starting router resource preassignment +Completed router resource preassignment. REAL time: 4 secs +Starting iterative routing. +Routing active signals. +End of iteration 1 +355 successful; 0 unrouted active, + 2 unrouted PWR/GND; (0) REAL time: 5 secs +End of iteration 2 +355 successful; 0 unrouted active, + 2 unrouted PWR/GND; (0) REAL time: 5 secs +Constraints are met. +Routing PWR/GND nets. +Power and ground nets completely routed. +Writing design to file "xilinx.ncd". +Starting cleanup +Improving routing. +End of cleanup iteration 1 +357 successful; 0 unrouted; (0) REAL time: 7 secs +Writing design to file "xilinx.ncd". +Total REAL time: 7 secs +Total CPU time: 7 secs +End of route. 357 routed (100.00%); 0 unrouted. +No errors found. +Completely routed. + +Total REAL time to Router completion: 7 secs +Total CPU time to Router completion: 8 secs + +Generating PAR statistics. +Timing Score: 0 + +Asterisk (*) preceding a constraint indicates it was not met. + +-------------------------------------------------------------------------------- + Constraint | Requested | Actual | Logic + | | | Levels +-------------------------------------------------------------------------------- + TS_WB_CLK_I = PERIOD TIMEGRP "WB_CLK_I" | | | + 100 nS HIGH 50.000 % | | | +-------------------------------------------------------------------------------- + + +All constraints were met. +Writing design to file "xilinx.ncd". + + +All signals are completely routed. + +Total REAL time to PAR completion: 8 secs +Total CPU time to PAR completion: 8 secs + +Placement: Completed - No errors found. +Routing: Completed - No errors found. +Timing: Completed - No errors found. + +PAR done. + +================================================== + +trce xilinx.ncd xilinx.pcf -e 3 -o xilinx.twr +Release 3.1i - Trace D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + + + +Loading device database for application trce from file "xilinx.ncd". + "UART" is an NCD, version 2.32, device xcs10, package tq144, speed -4 +Loading device for application trce from file '4005e.nph' in environment +C:/Fndtn. +-------------------------------------------------------------------------------- +Xilinx TRACE, Version D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +trce xilinx.ncd xilinx.pcf -e 3 -o xilinx.twr + +Design file: xilinx.ncd +Physical constraint file: xilinx.pcf +Device,speed: xcs10,-4 (x1_0.14.2.2 1.7 PRELIMINARY) +Report level: error report +-------------------------------------------------------------------------------- + + + +Timing summary: +--------------- + +Timing errors: 0 Score: 0 + +Constraints cover 0 paths, 0 nets, and 18 connections (5.1% coverage) + +Design statistics: +No global statistics to report. This generally means that either the timing +analysis was performed on fully combinatorial portions of the design, or the +constraints specified did not cover any paths. + +Analysis completed Thu Jan 09 22:24:05 2003 +-------------------------------------------------------------------------------- + +Total time: 2 secs + +================================================== + +bitgen xilinx.ncd -l -w -f bitgen.ut +Release 3.1i - Bitgen D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +Loading device database for application Bitgen from file "xilinx.ncd". + "UART" is an NCD, version 2.32, device xcs10, package tq144, speed -4 +Loading device for application Bitgen from file '4005e.nph' in environment +C:/Fndtn. +Opened constraints file xilinx.pcf. + +Thu Jan 09 22:24:06 2003 + +Running DRC. +DRC detected 0 errors and 0 warnings. +Saving ll file in "xilinx.ll". +Creating bit map... +Saving bit stream in "xilinx.bit". + +================================================== + +xcpy xilinx.bit c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.bit + +================================================== + +xcpy xilinx.ll c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.ll Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.xpi =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.xpi (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.xpi (revision 22) @@ -0,0 +1,3 @@ +PROGRAM=PAR +STATE=ROUTED +TIMESPECS_MET=YES Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bld =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bld (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bld (revision 22) @@ -0,0 +1,30 @@ +Release 3.1i - ngdbuild D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. + +Command Line: ngdbuild -p xcs10-4-tq144 -uc xilinx.ucf -dd .. +c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf xilinx.ngd + +Launcher: Executing edif2ngd +"c:\phili\miniuart\impl\xilinx~2\xilinx\xilinx.edf" +"C:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xproj\ver1\xilinx.ngo" +Release 3.1i - edif2ngd D.19 +Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved. +Writing the design to +"C:/Phili/MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo"... +Reading NGO file +"C:/Phili/MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo" ... +Reading component libraries for design expansion... + +Annotating constraints to design from file "xilinx.ucf" ... + +Checking timing specifications ... + +Checking expanded design ... + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGD file "xilinx.ngd" ... + +Writing NGDBUILD log file "xilinx.bld"... Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.cel =================================================================== Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ncd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ncd =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ncd (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ncd (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ncd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pad =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pad (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pad (revision 22) @@ -0,0 +1,267 @@ +Release 3.1i - Par D.19 +Thu Jan 09 22:24:02 2003 + +Xilinx PAD Specification File +***************************** + +Input file: map.ncd +Output file: xilinx.ncd +Part type: xcs10 +Speed grade: -4 +Package: tq144 + +Pinout by Pin Name: ++------------------------------------------------+-----------+--------------+ +| Pin Name | Direction | Pin Number | ++------------------------------------------------+-----------+--------------+ +| BR_Clk_I | INPUT | P39 | +| IntRx_O | OUTPUT | P49 | +| IntTx_O | OUTPUT | P50 | +| RxD_PAD_I | INPUT | P56 | +| TxD_PAD_O | OUTPUT | P14 | +| WB_ACK_O | OUTPUT | P116 | +| WB_ADR_I<0> | INPUT | P53 | +| WB_ADR_I<1> | INPUT | P52 | +| WB_CLK_I | INPUT | P2 | +| WB_DAT_I<0> | INPUT | P134 | +| WB_DAT_I<1> | INPUT | P138 | +| WB_DAT_I<2> | INPUT | P131 | +| WB_DAT_I<3> | INPUT | P132 | +| WB_DAT_I<4> | INPUT | P136 | +| WB_DAT_I<5> | INPUT | P135 | +| WB_DAT_I<6> | INPUT | P133 | +| WB_DAT_I<7> | INPUT | P130 | +| WB_DAT_O<0> | OUTPUT | P51 | +| WB_DAT_O<1> | OUTPUT | P47 | +| WB_DAT_O<2> | OUTPUT | P58 | +| WB_DAT_O<3> | OUTPUT | P61 | +| WB_DAT_O<4> | OUTPUT | P57 | +| WB_DAT_O<5> | OUTPUT | P60 | +| WB_DAT_O<6> | OUTPUT | P62 | +| WB_DAT_O<7> | OUTPUT | P59 | +| WB_RST_I | INPUT | P124 | +| WB_STB_I | INPUT | P119 | +| WB_WE_I | INPUT | P122 | ++------------------------------------------------+-----------+--------------+ + +Special Pins: ++------------------------------------------------------------+--------------+ +| Dedicated or Special Pin Name | Pin Number | ++------------------------------------------------------------+--------------+ +| /PROG | P74 | +| CCLK | P107 | +| DONE | P72 | +| GND | P64 | +| GND | P81 | +| GND | P127 | +| GND | P27 | +| GND | P118 | +| GND | P8 | +| GND | P110 | +| GND | P71 | +| GND | P55 | +| GND | P137 | +| GND | P45 | +| GND | P35 | +| GND | P100 | +| GND | P17 | +| GND | P91 | +| GND | P1 | +| N.C. | P117 | +| TCK | P7 | +| TDI | P6 | +| TDO | P109 | +| TMS | P11 | +| VCC | P108 | +| VCC | P128 | +| VCC | P73 | +| VCC | P90 | +| VCC | P18 | +| VCC | P54 | +| VCC | P144 | +| VCC | P37 | ++------------------------------------------------------------+--------------+ + +Pinout by Pin Number: ++--------------+-----------------------------------+-----------+------------+ +| Pin Number | Pin Name | Direction | Constraint | ++--------------+-----------------------------------+-----------+------------+ +| P1 | (GND) | | | +| P2 | WB_CLK_I | INPUT | | +| P3 | --- | UNUSED | | +| P4 | --- | UNUSED | | +| P5 | --- | UNUSED | | +| P6 | (TDI) | | | +| P7 | (TCK) | | | +| P8 | (GND) | | | +| P9 | --- | UNUSED | | +| P10 | --- | UNUSED | | +| P11 | (TMS) | | | +| P12 | --- | UNUSED | | +| P13 | --- | UNUSED | | +| P14 | TxD_PAD_O | OUTPUT | | +| P15 | --- | UNUSED | | +| P16 | --- | UNUSED | | +| P17 | (GND) | | | +| P18 | (VCC) | | | +| P19 | --- | UNUSED | | +| P20 | --- | UNUSED | | +| P21 | --- | UNUSED | | +| P22 | --- | UNUSED | | +| P23 | --- | UNUSED | | +| P24 | --- | UNUSED | | +| P25 | --- | UNUSED | | +| P26 | --- | UNUSED | | +| P27 | (GND) | | | +| P28 | --- | UNUSED | | +| P29 | --- | UNUSED | | +| P30 | --- | UNUSED | | +| P31 | --- | UNUSED | | +| P32 | --- | UNUSED | | +| P33 | --- | UNUSED | | +| P35 | (GND) | | | +| P37 | (VCC) | | | +| P39 | BR_Clk_I | INPUT | | +| P40 | --- | UNUSED | | +| P41 | --- | UNUSED | | +| P42 | --- | UNUSED | | +| P43 | --- | UNUSED | | +| P44 | --- | UNUSED | | +| P45 | (GND) | | | +| P46 | --- | UNUSED | | +| P47 | WB_DAT_O<1> | OUTPUT | | +| P48 | --- | UNUSED | | +| P49 | IntRx_O | OUTPUT | | +| P50 | IntTx_O | OUTPUT | | +| P51 | WB_DAT_O<0> | OUTPUT | | +| P52 | WB_ADR_I<1> | INPUT | | +| P53 | WB_ADR_I<0> | INPUT | | +| P54 | (VCC) | | | +| P55 | (GND) | | | +| P56 | RxD_PAD_I | INPUT | | +| P57 | WB_DAT_O<4> | OUTPUT | | +| P58 | WB_DAT_O<2> | OUTPUT | | +| P59 | WB_DAT_O<7> | OUTPUT | | +| P60 | WB_DAT_O<5> | OUTPUT | | +| P61 | WB_DAT_O<3> | OUTPUT | | +| P62 | WB_DAT_O<6> | OUTPUT | | +| P63 | --- | UNUSED | | +| P64 | (GND) | | | +| P65 | --- | UNUSED | | +| P66 | --- | UNUSED | | +| P67 | --- | UNUSED | | +| P68 | --- | UNUSED | | +| P69 | --- | UNUSED | | +| P70 | --- | UNUSED | | +| P71 | (GND) | | | +| P72 | (DONE) | | | +| P73 | (VCC) | | | +| P74 | (/PROG) | | | +| P75 | --- | UNUSED | | +| P76 | --- | UNUSED | | +| P77 | --- | UNUSED | | +| P78 | --- | UNUSED | | +| P79 | --- | UNUSED | | +| P80 | --- | UNUSED | | +| P81 | (GND) | | | +| P82 | --- | UNUSED | | +| P83 | --- | UNUSED | | +| P84 | --- | UNUSED | | +| P85 | --- | UNUSED | | +| P86 | --- | UNUSED | | +| P87 | --- | UNUSED | | +| P88 | --- | UNUSED | | +| P89 | --- | UNUSED | | +| P90 | (VCC) | | | +| P91 | (GND) | | | +| P92 | --- | UNUSED | | +| P93 | --- | UNUSED | | +| P94 | --- | UNUSED | | +| P95 | --- | UNUSED | | +| P96 | --- | UNUSED | | +| P97 | --- | UNUSED | | +| P98 | --- | UNUSED | | +| P99 | --- | UNUSED | | +| P100 | (GND) | | | +| P101 | --- | UNUSED | | +| P102 | --- | UNUSED | | +| P103 | --- | UNUSED | | +| P104 | --- | UNUSED | | +| P105 | --- | UNUSED | | +| P106 | --- | UNUSED | | +| P107 | (CCLK) | | | +| P108 | (VCC) | | | +| P109 | (TDO) | | | +| P110 | (GND) | | | +| P111 | --- | UNUSED | | +| P112 | --- | UNUSED | | +| P113 | --- | UNUSED | | +| P114 | --- | UNUSED | | +| P115 | --- | UNUSED | | +| P116 | WB_ACK_O | OUTPUT | | +| P117 | (N.C.) | | | +| P118 | (GND) | | | +| P119 | WB_STB_I | INPUT | | +| P120 | --- | UNUSED | | +| P121 | --- | UNUSED | | +| P122 | WB_WE_I | INPUT | | +| P123 | --- | UNUSED | | +| P124 | WB_RST_I | INPUT | | +| P125 | --- | UNUSED | | +| P126 | --- | UNUSED | | +| P127 | (GND) | | | +| P128 | (VCC) | | | +| P129 | --- | UNUSED | | +| P130 | WB_DAT_I<7> | INPUT | | +| P131 | WB_DAT_I<2> | INPUT | | +| P132 | WB_DAT_I<3> | INPUT | | +| P133 | WB_DAT_I<6> | INPUT | | +| P134 | WB_DAT_I<0> | INPUT | | +| P135 | WB_DAT_I<5> | INPUT | | +| P136 | WB_DAT_I<4> | INPUT | | +| P137 | (GND) | | | +| P138 | WB_DAT_I<1> | INPUT | | +| P139 | --- | UNUSED | | +| P140 | --- | UNUSED | | +| P141 | --- | UNUSED | | +| P142 | --- | UNUSED | | +| P143 | --- | UNUSED | | +| P144 | (VCC) | | | ++--------------+-----------------------------------+-----------+------------+ + +# +# To preserve the pinout above for future design iterations, +# simply run "Lock Pins..." from the Design Manager's Design +# menu, or invoke PIN2UCF from the command line. The location constraints +# above will be written into your specified UCF file. (The constraints +# listed below are in PCF format and cannot be directly used in the UCF file). +# +COMP "BR_Clk_I" LOCATE = SITE "P39" ; +COMP "IntRx_O" LOCATE = SITE "P49" ; +COMP "IntTx_O" LOCATE = SITE "P50" ; +COMP "RxD_PAD_I" LOCATE = SITE "P56" ; +COMP "TxD_PAD_O" LOCATE = SITE "P14" ; +COMP "WB_ACK_O" LOCATE = SITE "P116" ; +COMP "WB_ADR_I<0>" LOCATE = SITE "P53" ; +COMP "WB_ADR_I<1>" LOCATE = SITE "P52" ; +COMP "WB_CLK_I" LOCATE = SITE "P2" ; +COMP "WB_DAT_I<0>" LOCATE = SITE "P134" ; +COMP "WB_DAT_I<1>" LOCATE = SITE "P138" ; +COMP "WB_DAT_I<2>" LOCATE = SITE "P131" ; +COMP "WB_DAT_I<3>" LOCATE = SITE "P132" ; +COMP "WB_DAT_I<4>" LOCATE = SITE "P136" ; +COMP "WB_DAT_I<5>" LOCATE = SITE "P135" ; +COMP "WB_DAT_I<6>" LOCATE = SITE "P133" ; +COMP "WB_DAT_I<7>" LOCATE = SITE "P130" ; +COMP "WB_DAT_O<0>" LOCATE = SITE "P51" ; +COMP "WB_DAT_O<1>" LOCATE = SITE "P47" ; +COMP "WB_DAT_O<2>" LOCATE = SITE "P58" ; +COMP "WB_DAT_O<3>" LOCATE = SITE "P61" ; +COMP "WB_DAT_O<4>" LOCATE = SITE "P57" ; +COMP "WB_DAT_O<5>" LOCATE = SITE "P60" ; +COMP "WB_DAT_O<6>" LOCATE = SITE "P62" ; +COMP "WB_DAT_O<7>" LOCATE = SITE "P59" ; +COMP "WB_RST_I" LOCATE = SITE "P124" ; +COMP "WB_STB_I" LOCATE = SITE "P119" ; +COMP "WB_WE_I" LOCATE = SITE "P122" ; +# Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/express.ini =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/express.ini (revision 21) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/express.ini (revision 22) @@ -1,25 +1,25 @@ -[Modules] -55415254=c:\phili\miniuart\impl\xilinx~2\xilinx\miniuart.vhd -5278556e6974=c:\phili\miniuart\impl\xilinx~2\xilinx\rxunit.vhd -5478556e6974=c:\phili\miniuart\impl\xilinx~2\xilinx\txunit.vhd -73796e6368726f6e69736572=c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd -436f756e746572=c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd - -[c:\phili\miniuart\impl\xilinx~2\xilinx\miniuart.vhd] -55415254= - -[files] -c:\phili\miniuart\impl\xilinx~2\xilinx\miniuart.vhd= -c:\phili\miniuart\impl\xilinx~2\xilinx\rxunit.vhd= -c:\phili\miniuart\impl\xilinx~2\xilinx\txunit.vhd= -c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd= - -[c:\phili\miniuart\impl\xilinx~2\xilinx\rxunit.vhd] -5278556e6974= - -[c:\phili\miniuart\impl\xilinx~2\xilinx\txunit.vhd] -5478556e6974= - -[c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd] -73796e6368726f6e69736572= -436f756e746572= +[Modules] +55415254=c:\phili\miniuart\impl\xilinx~2\xilinx\miniuart.vhd +5278556e6974=c:\phili\miniuart\impl\xilinx~2\xilinx\rxunit.vhd +5478556e6974=c:\phili\miniuart\impl\xilinx~2\xilinx\txunit.vhd +73796e6368726f6e69736572=c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd +436f756e746572=c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd + +[c:\phili\miniuart\impl\xilinx~2\xilinx\miniuart.vhd] +55415254= + +[files] +c:\phili\miniuart\impl\xilinx~2\xilinx\miniuart.vhd= +c:\phili\miniuart\impl\xilinx~2\xilinx\rxunit.vhd= +c:\phili\miniuart\impl\xilinx~2\xilinx\txunit.vhd= +c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd= + +[c:\phili\miniuart\impl\xilinx~2\xilinx\rxunit.vhd] +5278556e6974= + +[c:\phili\miniuart\impl\xilinx~2\xilinx\txunit.vhd] +5478556e6974= + +[c:\phili\miniuart\impl\xilinx~2\xilinx\utils.vhd] +73796e6368726f6e69736572= +436f756e746572= Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L1.rpt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L1.rpt =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L1.rpt (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L1.rpt (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L1.rpt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L2.rpt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L2.rpt =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L2.rpt (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L2.rpt (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L2.rpt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L3.rpt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L3.rpt =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L3.rpt (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L3.rpt (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L3.rpt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L4.rpt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L4.rpt =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L4.rpt (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L4.rpt (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/files/L4.rpt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.out (revision 22) @@ -0,0 +1,29 @@ +Reading in the Synopsys vhdl primitives. + +Inferred memory devices in process 'WBctrl' + in routine UART line 121 in file + 'C:/phili/miniuart/impl/xilinx~2/xilinx/miniuart.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| LoadA_reg | Flip-flop | 1 | - | - | N | N | N | N | N | +| ReadA_reg | Flip-flop | 1 | - | - | N | N | N | N | N | +| TxData_reg | Flip-flop | 8 | Y | N | N | N | N | N | N | +=============================================================================== + +LoadA_reg +--------- + set/reset/toggle: none + + +ReadA_reg +--------- + set/reset/toggle: none + + +TxData_reg (width 8) +-------------------- + set/reset/toggle: none + + +Writing to hnl file 'c:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xilinx/workdirs/WORK/UART.hnl' Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.sts =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.sts (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.sts (revision 22) @@ -0,0 +1 @@ +0 \ No newline at end of file Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.sts =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.sts (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.sts (revision 22) @@ -0,0 +1 @@ +0 \ No newline at end of file Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.mra =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.mra (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.mra (revision 22) @@ -0,0 +1 @@ +BEHAVIOUR Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.hnl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.hnl =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.hnl (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.hnl (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.hnl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.out (revision 22) @@ -0,0 +1,38 @@ + +Inferred memory devices in process 'RiseC1A' + in routine synchroniser line 62 + in file 'C:/phili/miniuart/impl/xilinx~2/xilinx/utils.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| C1A_reg | Flip-flop | 1 | - | - | Y | N | N | Y | N | +=============================================================================== + +C1A_reg +------- + Async-reset: R + Sync-set: true + + + +Inferred memory devices in process 'SyncP' + in routine synchroniser line 72 in + file 'C:/phili/miniuart/impl/xilinx~2/xilinx/utils.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| C1S_reg | Flip-flop | 1 | - | - | Y | N | N | N | N | +| R_reg | Flip-flop | 1 | - | - | N | N | N | N | N | +=============================================================================== + +C1S_reg +------- + Async-reset: R + + +R_reg +----- + set/reset/toggle: none + + +Writing to hnl file 'c:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xilinx/workdirs/WORK/synchroniser.hnl' Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.mra =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.mra (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.mra (revision 22) @@ -0,0 +1 @@ +BEHAVIOUR Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.out (revision 22) @@ -0,0 +1,56 @@ + +Inferred memory devices in process 'RxAvProc' + in routine RxUnit line 50 in + file 'C:/phili/miniuart/impl/xilinx~2/xilinx/rxunit.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| RxAv_reg | Flip-flop | 1 | - | - | Y | N | N | Y | N | +=============================================================================== + +RxAv_reg +-------- + Async-reset: ReadA + Reset + Sync-set: true + + + +Inferred memory devices in process 'RxProc' + in routine RxUnit line 60 in file + 'C:/phili/miniuart/impl/xilinx~2/xilinx/rxunit.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| BitPos_reg | Flip-flop | 4 | Y | N | Y | N | N | N | N | +| DataO_reg | Flip-flop | 8 | Y | N | N | N | N | N | N | +| RRegL_reg | Flip-flop | 1 | - | - | Y | N | N | N | N | +| RReg_reg | Flip-flop | 8 | Y | N | N | N | N | N | N | +| SampleCnt_reg | Flip-flop | 2 | Y | N | N | N | N | N | N | +=============================================================================== + +BitPos_reg (width 4) +-------------------- + Async-reset: Reset + + +DataO_reg (width 8) +------------------- + set/reset/toggle: none + + +RRegL_reg +--------- + Async-reset: Reset + + +RReg_reg (width 8) +------------------ + set/reset/toggle: none + + +SampleCnt_reg (width 2) +----------------------- + set/reset/toggle: none + + +Writing to hnl file 'c:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xilinx/workdirs/WORK/RxUnit.hnl' Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.out (revision 22) @@ -0,0 +1,40 @@ + +Inferred memory devices in process 'TxProc' + in routine TxUnit line 66 in file + 'C:/phili/miniuart/impl/xilinx~2/xilinx/txunit.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| BitPos_reg | Flip-flop | 4 | Y | N | Y | N | N | N | N | +| TBufL_reg | Flip-flop | 1 | - | - | Y | N | N | N | N | +| TBuff_reg | Flip-flop | 8 | Y | N | N | N | N | N | N | +| TReg_reg | Flip-flop | 8 | Y | N | N | N | N | N | N | +| TxD_reg | Flip-flop | 1 | - | - | N | Y | N | N | N | +=============================================================================== + +BitPos_reg (width 4) +-------------------- + Async-reset: Reset + + +TBufL_reg +--------- + Async-reset: Reset + + +TBuff_reg (width 8) +------------------- + set/reset/toggle: none + + +TReg_reg (width 8) +------------------ + set/reset/toggle: none + + +TxD_reg +------- + Async-set: Reset + + +Writing to hnl file 'c:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xilinx/workdirs/WORK/TxUnit.hnl' Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.mra =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.mra (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.mra (revision 22) @@ -0,0 +1 @@ +BEHAVIOUR Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.hnl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.hnl =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.hnl (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.hnl (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.hnl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.mra =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.mra (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.mra (revision 22) @@ -0,0 +1 @@ +BEHAVIOUR Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.hnl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.hnl =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.hnl (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.hnl (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.hnl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.mra =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.mra (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.mra (revision 22) @@ -0,0 +1 @@ +BEHAVIOUR Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.hnl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.hnl =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.hnl (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.hnl (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.hnl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.out (revision 22) @@ -0,0 +1,22 @@ + +Inferred memory devices in process 'counter' + in routine Counter_Count4 line + 113 in file 'C:/phili/miniuart/impl/xilinx~2/xilinx/utils.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| Cnt_reg | Flip-flop | 2 | Y | N | N | Y | N | N | N | +| O_reg | Flip-flop | 1 | - | - | Y | N | N | N | N | +=============================================================================== + +Cnt_reg (width 2) +----------------- + Async-set: Reset + + +O_reg +----- + Async-reset: Reset + + +Writing to hnl file 'c:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xilinx/workdirs/WORK/Counter_COUNT_4.hnl' Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.out (revision 22) @@ -0,0 +1,57 @@ + +Inferred memory devices in process 'counter' + in routine Counter_Count130 line + 113 in file 'C:/phili/miniuart/impl/xilinx~2/xilinx/utils.vhd'. +=============================================================================== +| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | +=============================================================================== +| Cnt_reg | Flip-flop | 8 | N | N | ? | ? | ? | ? | ? | +| O_reg | Flip-flop | 1 | - | - | Y | N | N | N | N | +=============================================================================== + +Cnt_reg<0> +---------- + Async-set: Reset + + +Cnt_reg<4> +---------- + Async-reset: Reset + + +Cnt_reg<6> +---------- + Async-reset: Reset + + +Cnt_reg<2> +---------- + Async-reset: Reset + + +Cnt_reg<3> +---------- + Async-reset: Reset + + +Cnt_reg<7> +---------- + Async-set: Reset + + +Cnt_reg<5> +---------- + Async-reset: Reset + + +Cnt_reg<1> +---------- + Async-reset: Reset + + +O_reg +----- + Async-reset: Reset + + +Writing to hnl file 'c:\Phili\MiniUART\impl\Xilinx_xcs10\Xilinx\xilinx/workdirs/WORK/Counter_COUNT_130.hnl' Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.out =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.out (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.out (revision 22) @@ -0,0 +1 @@ +C:/phili/miniuart/impl/xilinx~2/xilinx/utils.vhd: Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sts =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sts (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sts (revision 22) @@ -0,0 +1 @@ +0 \ No newline at end of file Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.hnl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.hnl =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.hnl (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.hnl (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.hnl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.hnl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.hnl =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.hnl (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.hnl (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.hnl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.sts =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.sts (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.sts (revision 22) @@ -0,0 +1 @@ +0 \ No newline at end of file Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.syn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.syn =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.syn (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.syn (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.syn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.sts =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.sts (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.sts (revision 22) @@ -0,0 +1 @@ +0 \ No newline at end of file Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.sts =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.sts (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.sts (revision 22) @@ -0,0 +1 @@ +0 \ No newline at end of file Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.info =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.info (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.info (revision 22) @@ -0,0 +1,17 @@ +file { + .version = 1; + entity { + .name = "synchroniser"; + .mra_file = "synchroniser.mra"; + .arch = {"Behaviour"}; + .syn_files = {"SYNCHRONISER.syn", "SYNCHRONISER__BEHAVIOUR.syn"}; + } + entity { + .name = "Counter"; + .mra_file = "Counter.mra"; + .arch = {"Behaviour"}; + .syn_files = {"COUNTER.syn", "COUNTER__BEHAVIOUR.syn"}; + param { .name = "Count"; + } + } +} Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.sim =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.sim =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.sim (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.sim (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.sim Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.rpt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.rpt =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.rpt (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.rpt (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.rpt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.cst =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.cst =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.cst (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.cst (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.cst Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.ws =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.ws =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.ws (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.ws (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.ws Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.rpt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.rpt =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.rpt (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.rpt (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.rpt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.cst =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.cst =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.cst (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.cst (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.cst Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.ws =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.ws =================================================================== --- branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.ws (nonexistent) +++ branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.ws (revision 22)
branches/avendor/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.ws Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/Foundation sim/testrx.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/Foundation sim/testrx.pdf =================================================================== --- branches/avendor/sim/Foundation sim/testrx.pdf (nonexistent) +++ branches/avendor/sim/Foundation sim/testrx.pdf (revision 22)
branches/avendor/sim/Foundation sim/testrx.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/Foundation sim/testtx.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/Foundation sim/testtx.pdf =================================================================== --- branches/avendor/sim/Foundation sim/testtx.pdf (nonexistent) +++ branches/avendor/sim/Foundation sim/testtx.pdf (revision 22)
branches/avendor/sim/Foundation sim/testtx.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/Foundation sim/TESTRxLimit.CMD =================================================================== --- branches/avendor/sim/Foundation sim/TESTRxLimit.CMD (nonexistent) +++ branches/avendor/sim/Foundation sim/TESTRxLimit.CMD (revision 22) @@ -0,0 +1,37 @@ +| Script file for testing the minimal an maximal Baudrate excursion around the +| nominal Baudrate of 125kHz (in term of %) + +| Initial settings +delete_waveforms +restart +stepsize 50nS + +| Watched Signals and Vectors +watch WB_CLK_I | Wishbone clock +watch WB_RST_I + +vector RxData RxData7 RxData6 RxData5 RxData4 RxData3 RxData2 RxData1 RxData0 +watch RxD_PAD_I | RS232 Rx Line +watch IntRx_O | Emit Buffer is empty +watch BR_Clk_I +watch EnabRx + +| Stimulators Assignment +clock WB_CLK_I 1 0 | BR_CLK_I=10MHz +wfm WB_RST_I @1nS=L 100nS=H 100nS=L +assign WB_STB_I 0 +assign WB_WE_I 0 + +wfm BR_Clk_I @0nS=L (0.946uS=H 1uS=L)*8000 | BR_Clk_I=500kHz +| BRDIVISOR=1. Baudrate=500000/1/4=125kHz (Bit period=8uS) +| Below is a generation of 50 same frames, coding 40h. +wfm RxD_PAD_I @0nS=H + + 102.7uS=H (8uS=L 8uS=L 8uS=L 8uS=L 8uS=L 8uS=L 8uS=L 8uS=H 8uS=L 8uS=H)*150 8uS=H + +| Perform Simulation +sim 10mS + +| Results: +| max BR_Clk_I: 2.118uS +| min BR_Clk_I: 1.946uS +
branches/avendor/sim/Foundation sim/TESTRxLimit.CMD Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: branches/avendor/sim/Foundation sim/TESTTx.CMD =================================================================== --- branches/avendor/sim/Foundation sim/TESTTx.CMD (nonexistent) +++ branches/avendor/sim/Foundation sim/TESTTx.CMD (revision 22) @@ -0,0 +1,47 @@ +| Script file for testing the UART in echo mode (Txd and must be RxD tied) +| 2 writes followed by 2 read + +| Initial settings +delete_waveforms +restart +stepsize 50nS + +| Watched Signals and Vectors +| +| Define your signal and vector watch list here +watch WB_CLK_I +watch WB_RST_I +watch WB_WE_I +watch WB_STB_I +watch WB_ACK_O +vector WB_ADR WB_ADR_I[1:0] +vector WB_DI WB_DAT_I[7:0] +vector WB_DO WB_DAT_O[7:0] +watch TxD_PAD_O | RS232 Tx Line +watch IntTx_O | Byte present in buffer +watch IntRx_O | Emit Buffer is empty +watch BR_Clk_I +watch EnabTx EnabRx + +| Stimulators Assignment +| 1/Write Byte +| 2/Write another byte +clock WB_CLK_I 1 0 +wfm WB_RST_I @1nS=L 100nS=H 100nS=L +wfm WB_STB_I @1nS=L + + @100.001uS=H 100nS=L + + @250.001uS=H 100nS=L +wfm WB_WE_I @1nS=L + + @100.001uS=H + + @250.001uS=H +wfm WB_ADR @1nS=L + + @100.001uS=0\H 100nS=Z + + @250.001uS=0\H 100nS=Z +wfm WB_DI @1nS=\0H + + @100.001uS=81\H 101nS=Z + + @250.001uS=55\H 101nS=Z +wfm BR_Clk_I @610nS=L (500nS=H 500nS=L)*1500 + +| Perform Simulation +sim 1500uS +
branches/avendor/sim/Foundation sim/TESTTx.CMD Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: branches/avendor/sim/Foundation sim/TESTUART.CMD =================================================================== --- branches/avendor/sim/Foundation sim/TESTUART.CMD (nonexistent) +++ branches/avendor/sim/Foundation sim/TESTUART.CMD (revision 22) @@ -0,0 +1,56 @@ +| Script file for testing the UART in echo mode (Txd and must be RxD tied) +| 2 writes followed by 2 read + +| Initial settings +delete_waveforms +restart +stepsize 50nS + +| Watched Signals and Vectors +| +| Define your signal and vector watch list here +watch WB_CLK_I +watch WB_RST_I +watch WB_WE_I +watch WB_STB_I +watch WB_ACK_O +vector WB_ADR ADR_I[1:0] +vector WB_DI DAT_I[7:0] +vector WB_DO DAT_O[7:0] +watch RxD TEcho| RS232 Rx Line +watch TxD | RS232 Tx Line +watch IntTx | Byte present in buffer +watch IntRx | Emit Buffer is empty +watch BRClk +watch EnabTx EnabRx + +| Stimulators Assignment +| 1/Write Byte +| 2/Write another byte +| 3/Read Byte +| 4/Read Byte +clock WB_CLK_I 1 0 +wfm WB_RST_I @1nS=L 100nS=H 100nS=L +wfm WB_STB_I @1nS=L + + @100.001uS=H 100nS=L + + @200.001uS=H 100nS=L + + @250.001uS=H 100nS=L + + @355.501uS=H 100nS=L +wfm WB_WE_I @1nS=L + + @100.001uS=H + + @200.001uS=L + + @250.001uS=H + + @355.501uS=L +wfm WB_ADR @1nS=L + + @100.001uS=0\H 100nS=Z + + @200.001uS=0\H 100nS=Z + + @250.001uS=0\H 100nS=Z + + @355.501uS=0\H 100nS=Z +wfm WB_DI @1nS=\0H + + @100.001uS=81\H 101nS=Z + + @250.001uS=55\H 101nS=Z +wfm BRClk @0nS=L (500nS=H 500nS=L)*500 + +| Perform Simulation +sim 400uS +
branches/avendor/sim/Foundation sim/TESTUART.CMD Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: branches/avendor/sim/ModelSim/test_bench1/test.vhd =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/test.vhd (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/test.vhd (revision 22) @@ -0,0 +1,133 @@ +------------------------------------------------------------------------------- +-- Title : UART Testbench +-- Project : UART +------------------------------------------------------------------------------- +-- File : test.vhd +-- Author : Philippe CARTON +-- (philippe.carton2@libertysurf.fr) +-- Organization: +-- Created : 8/1/2003 +-- Last update : 9/1/2003 +-- Platform : Windows +-- Simulators : ModelSim 5.5b +-- Dependency : IEEE std_logic_1164, simu_lib +------------------------------------------------------------------------------- +-- Description : +-- test entity UART in loopback mode (TxD = RxD = LOOPBCK) +-- with patt.in and clk.in +------------------------------------------------------------------------------- +-- Copyright (c) notice +-- This core adheres to the GNU public license +-- +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; + +library simu_lib; +use simu_lib.HORLOGE; +use simu_lib.GEN_WAVE_BUS; + +library work; +use work.all; + +------------------------------------------------------------------------------- +entity TEST_MINIUART is + generic( CHEMIN : string := "test_bench1/"); +end TEST_MINIUART; +------------------------------------------------------------------------------- +-- behavioural architecture type +------------------------------------------------------------------------------- +architecture ARCH_TEST_BENCH OF TEST_MINIUART is + +------------------------------------------------------------------------------- +-- internal signals connection declaration +------------------------------------------------------------------------------- +signal ZERO : std_logic; +signal UN : std_logic; +signal LOOPBCK : std_logic; + +signal PATT : std_logic_vector(12 downto 0); +signal VISU : std_logic_vector(10 downto 0); + +signal CLK : std_logic; -- system clock +signal BRCLK : std_logic; -- Baudrate clock + +------------------------------------------------------------------------------- +component HORLOGE + generic ( NOM_FICHIER_HORLOGE : string := "HORLOGE.IN" ); + port ( CLOCK : out std_logic ); +end component; + +------------------------------------------------------------------------------- +component GEN_WAVE_BUS + generic ( nb_bits : integer := 4; -- bus width + NOM_FICHIER_WAVE : string := "GEN_WAVE.IN" ); + port ( SIGNAL_OUT : out std_logic_vector((nb_bits-1) downto 0) ); +end component; + +------------------------------------------------------------------------------- +component UART + generic(BRDIVISOR: INTEGER range 0 to 65535 := 130); -- Baud rate divisor + port( +-- Wishbone signals + WB_CLK_I : in std_logic; -- clock + WB_RST_I : in std_logic; -- Reset input + WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus + WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus + WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus + WB_WE_I : in std_logic; -- Write Enable + WB_STB_I : in std_logic; -- Strobe + WB_ACK_O : out std_logic; -- Acknowledge +-- process signals + IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte + IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received + BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive + TxD_PAD_O: out std_logic; -- Tx RS232 Line + RxD_PAD_I: in std_logic -- Rx RS232 Line + ); +end component; + +------------------------------------------------------------------------------- +-- begin body entity +------------------------------------------------------------------------------- +begin + UN <= '1'; + ZERO <= '0'; + +------------------------------------------------------------------------------- +GERE_BUS : GEN_WAVE_BUS + generic map ( nb_bits => PATT'length, + NOM_FICHIER_WAVE => CHEMIN & "patt.in") + port map ( SIGNAL_OUT => PATT ); +------------------------------------------------------------------------------- +HORLOGE_CLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "clk.in") + port map ( CLOCK => CLK ); +------------------------------------------------------------------------------- +HORLOGE_BRCLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "brclk.in") + port map ( CLOCK => BRCLK ); +------------------------------------------------------------------------------- +DUT : UART + generic map (BRDIVISOR => 1) + port map( + WB_CLK_I => CLK, + WB_RST_I => PATT(0), + WB_ADR_I => PATT(2 downto 1), + WB_DAT_I => PATT(10 downto 3), + WB_DAT_O => VISU(7 downto 0), + WB_WE_I => PATT(11), + WB_STB_I => PATT(12), + WB_ACK_O => VISU(8), + + IntTx_O => VISU(9), + IntRx_O => VISU(10), + BR_Clk_I => BRCLK, + TxD_PAD_O => LOOPBCK, + RxD_PAD_I => LOOPBCK + ); +------------------------------------------------------------------------------- + +end ARCH_TEST_BENCH; + Index: branches/avendor/sim/ModelSim/test_bench1/brclk.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/brclk.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/brclk.in (revision 22) @@ -0,0 +1,19 @@ +----------------------------- +-- file brclk.in +----------------------------- +-- +-- build a baudrate at 230415bpS +-- format : +-- p -> period +-- d -> delay / start. simu. > 0 +-- r -> cyclic ratio in % +-- or +-- h high state time +-- c -> cycle number (~pattern number) +---------------------- +p 4.34 us +d 100 ns +r 50 +c 500000 +-- +-- enf of file Index: branches/avendor/sim/ModelSim/test_bench1/wave.do =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/wave.do (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/wave.do (revision 22) @@ -0,0 +1,28 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider {WishBone signals} +add wave -noupdate -format Logic -label wb_rst_i -radix binary /test_miniuart/dut/wb_rst_i +add wave -noupdate -format Logic -label wb_clk_i -radix binary /test_miniuart/dut/wb_clk_i +add wave -noupdate -format Logic -label wb_stb_i -radix binary /test_miniuart/dut/wb_stb_i +add wave -noupdate -format Logic -label wb_ack_o -radix binary /test_miniuart/dut/wb_ack_o +add wave -noupdate -format Logic -label wb_we_i -radix binary /test_miniuart/dut/wb_we_i +add wave -noupdate -format Literal -label wb_adr_i -radix hexadecimal /test_miniuart/dut/wb_adr_i +add wave -noupdate -format Literal -label wb_dat_i -radix hexadecimal /test_miniuart/dut/wb_dat_i +add wave -noupdate -format Literal -label wb_dat_o -radix hexadecimal /test_miniuart/dut/wb_dat_o +add wave -noupdate -divider {UART signals} +add wave -noupdate -format Logic -label br_clk_i -radix binary /test_miniuart/dut/br_clk_i +add wave -noupdate -format Logic -label txd_pad_o -radix binary /test_miniuart/dut/txd_pad_o +add wave -noupdate -format Logic -label rxd_pad_i -radix binary /test_miniuart/dut/rxd_pad_i +add wave -noupdate -format Logic -label inttx_o -radix binary /test_miniuart/dut/inttx_o +add wave -noupdate -format Logic -label intrx_o -radix binary /test_miniuart/dut/intrx_o +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {0 ns} +WaveRestoreZoom {0 ns} {3242 ns} +configure wave -namecolwidth 110 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 Index: branches/avendor/sim/ModelSim/test_bench1/info.txt =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/info.txt (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/info.txt (revision 22) @@ -0,0 +1,4 @@ +This testbench will make the UART emit a char 0xB7 en TxD line +And receive the same character on the RxD line +(Loopback mode RxD tied to TxD) +The baudrate is 57600bps. \ No newline at end of file Index: branches/avendor/sim/ModelSim/test_bench1/test.bak =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/test.bak (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/test.bak (revision 22) @@ -0,0 +1,133 @@ +------------------------------------------------------------------------------- +-- Title : UART Testbench +-- Project : UART +------------------------------------------------------------------------------- +-- File : test.vhd +-- Author : Philippe CARTON +-- (philippe.carton2@libertysurf.fr) +-- Organization: +-- Created : 8/1/2003 +-- Last update : 9/1/2003 +-- Platform : Windows +-- Simulators : ModelSim 5.5b +-- Dependency : IEEE std_logic_1164, simu_lib +------------------------------------------------------------------------------- +-- Description : +-- test entity UART in loopback mode (TxD = RxD = LOOPBCK) +-- with patt.in and clk.in +------------------------------------------------------------------------------- +-- Copyright (c) notice +-- This core adheres to the GNU public license +-- +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; + +library simu_lib; +use simu_lib.HORLOGE; +use simu_lib.GEN_WAVE_BUS; + +library work; +use work.all; + +------------------------------------------------------------------------------- +entity TEST_MINIUART is + generic( CHEMIN : string := "test_bench1/"); +end TEST_MINIUART; +------------------------------------------------------------------------------- +-- behavioural architecture type +------------------------------------------------------------------------------- +architecture ARCH_TEST_BENCH OF TEST_MINIUART is + +------------------------------------------------------------------------------- +-- internal signals connection declaration +------------------------------------------------------------------------------- +signal ZERO : std_logic; +signal UN : std_logic; +signal LOOPBCK : std_logic; + +signal PATT : std_logic_vector(12 downto 0); +signal VISU : std_logic_vector(10 downto 0); + +signal CLK : std_logic; -- system clock +signal BRCLK : std_logic; -- Baudrate clock + +------------------------------------------------------------------------------- +component HORLOGE + generic ( NOM_FICHIER_HORLOGE : string := "HORLOGE.IN" ); + port ( CLOCK : out std_logic ); +end component; + +------------------------------------------------------------------------------- +component GEN_WAVE_BUS + generic ( nb_bits : integer := 4; -- largeur bus + NOM_FICHIER_WAVE : string := "GEN_WAVE.IN" ); + port ( SIGNAL_OUT : out std_logic_vector((nb_bits-1) downto 0) ); +end component; + +------------------------------------------------------------------------------- +component UART + generic(BRDIVISOR: INTEGER range 0 to 65535 := 130); -- Baud rate divisor + port( +-- Wishbone signals + WB_CLK_I : in std_logic; -- clock + WB_RST_I : in std_logic; -- Reset input + WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus + WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus + WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus + WB_WE_I : in std_logic; -- Write Enable + WB_STB_I : in std_logic; -- Strobe + WB_ACK_O : out std_logic; -- Acknowledge +-- process signals + IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte + IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received + BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive + TxD_PAD_O: out std_logic; -- Tx RS232 Line + RxD_PAD_I: in std_logic -- Rx RS232 Line + ); +end component; + +------------------------------------------------------------------------------- +-- begin body entity +------------------------------------------------------------------------------- +begin + UN <= '1'; + ZERO <= '0'; + +------------------------------------------------------------------------------- +GERE_BUS : GEN_WAVE_BUS + generic map ( nb_bits => PATT'length, + NOM_FICHIER_WAVE => CHEMIN & "patt.in") + port map ( SIGNAL_OUT => PATT ); +------------------------------------------------------------------------------- +HORLOGE_CLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "clk.in") + port map ( CLOCK => CLK ); +------------------------------------------------------------------------------- +HORLOGE_BRCLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "brclk.in") + port map ( CLOCK => BRCLK ); +------------------------------------------------------------------------------- +DUT : UART + generic map (BRDIVISOR => 1) + port map( + WB_CLK_I => CLK, + WB_RST_I => PATT(0), + WB_ADR_I => PATT(2 downto 1), + WB_DAT_I => PATT(10 downto 3), + WB_DAT_O => VISU(7 downto 0), + WB_WE_I => PATT(11), + WB_STB_I => PATT(12), + WB_ACK_O => VISU(8), + + IntTx_O => VISU(9), + IntRx_O => VISU(10), + BR_Clk_I => BRCLK, + TxD_PAD_O => LOOPBCK, + RxD_PAD_I => LOOPBCK + ); +------------------------------------------------------------------------------- + +end ARCH_TEST_BENCH; + Index: branches/avendor/sim/ModelSim/test_bench1/patt.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/patt.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/patt.in (revision 22) @@ -0,0 +1,28 @@ +----------------------------- +-- file patt.IN +----------------------------- +-- clk 100 ns +-- +-- time run 300 us +------------------------------------------ +------------------------------------------ +-- WB_RST_I => PATT(0), +-- WB_ADR_I => PATT(2 downto 1), +-- WB_DAT_I => PATT(10 downto 3), +-- WB_DAT_O => VISU(7 downto 0), +-- WB_WE_I => PATT(11), +-- WB_STB_I => PATT(12), +-- WB_ACK_O => VISU(8), +-- +-- IntTx_O => VISU(9), +-- IntRx_O => VISU(10), +-- +A 0 ns b_00_00000000_00_1 --rst async +R 200 ns b_11_10110111_00_0 --Write 0xB7 in Tx register +R 100 ns b_00_00000000_00_0 --release strobe => start emit on TxD +A 210 us b_10_00000000_00_0 --Read Rx register +R 100 ns b_00_00000000_00_0 --release strobe +R 100 ns b_10_00000000_01_0 --Read Stat register +R 100 ns b_00_00000000_00_0 --release strobe +-- +-- fin fichier \ No newline at end of file Index: branches/avendor/sim/ModelSim/test_bench1/clk.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench1/clk.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench1/clk.in (revision 22) @@ -0,0 +1,19 @@ +----------------------------- +-- file clk.in +----------------------------- +-- +-- build a clock signal at 10MHz +-- format : +-- p -> period +-- d -> delay / start. simu. > 0 +-- r -> cyclic ratio in % +-- or +-- h high state time +-- c -> cycle number (~pattern number) +---------------------- +p 100 ns +d 1 ns +r 50 +c 50000000 +-- +-- end of file Index: branches/avendor/sim/ModelSim/test_bench2/test.vhd =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/test.vhd (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/test.vhd (revision 22) @@ -0,0 +1,131 @@ +------------------------------------------------------------------------------- +-- Title : UART Testbench +-- Project : UART +------------------------------------------------------------------------------- +-- File : test.vhd +-- Author : Philippe CARTON +-- (philippe.carton2@libertysurf.fr) +-- Organization: +-- Created : 8/1/2003 +-- Last update : 9/1/2003 +-- Platform : Windows +-- Simulators : ModelSim 5.5b +-- Dependency : IEEE std_logic_1164, simu_lib +------------------------------------------------------------------------------- +-- Description : +-- test entity UART +------------------------------------------------------------------------------- +-- Copyright (c) notice +-- This core adheres to the GNU public license +-- +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; + +library simu_lib; +use simu_lib.HORLOGE; +use simu_lib.GEN_WAVE_BUS; + +library work; +use work.all; + +------------------------------------------------------------------------------- +entity TEST_MINIUART is + generic( CHEMIN : string := "test_bench2/"); +end TEST_MINIUART; +------------------------------------------------------------------------------- +-- behavioural architecture type +------------------------------------------------------------------------------- +architecture ARCH_TEST_BENCH OF TEST_MINIUART is + +------------------------------------------------------------------------------- +-- internal signals connection declaration +------------------------------------------------------------------------------- +signal ZERO : std_logic; +signal UN : std_logic; + +signal PATT : std_logic_vector(13 downto 0); +signal VISU : std_logic_vector(11 downto 0); + +signal CLK : std_logic; -- system clock +signal BRCLK : std_logic; -- Baudrate clock + +------------------------------------------------------------------------------- +component HORLOGE + generic ( NOM_FICHIER_HORLOGE : string := "HORLOGE.IN" ); + port ( CLOCK : out std_logic ); +end component; + +------------------------------------------------------------------------------- +component GEN_WAVE_BUS + generic ( nb_bits : integer := 4; -- bus width + NOM_FICHIER_WAVE : string := "GEN_WAVE.IN" ); + port ( SIGNAL_OUT : out std_logic_vector((nb_bits-1) downto 0) ); +end component; + +------------------------------------------------------------------------------- +component UART + generic(BRDIVISOR: INTEGER range 0 to 65535 := 130); -- Baud rate divisor + port( +-- Wishbone signals + WB_CLK_I : in std_logic; -- clock + WB_RST_I : in std_logic; -- Reset input + WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus + WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus + WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus + WB_WE_I : in std_logic; -- Write Enable + WB_STB_I : in std_logic; -- Strobe + WB_ACK_O : out std_logic; -- Acknowledge +-- process signals + IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte + IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received + BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive + TxD_PAD_O: out std_logic; -- Tx RS232 Line + RxD_PAD_I: in std_logic -- Rx RS232 Line + ); +end component; + +------------------------------------------------------------------------------- +-- begin body entity +------------------------------------------------------------------------------- +begin + UN <= '1'; + ZERO <= '0'; + +------------------------------------------------------------------------------- +GERE_BUS : GEN_WAVE_BUS + generic map ( nb_bits => PATT'length, + NOM_FICHIER_WAVE => CHEMIN & "patt.in") + port map ( SIGNAL_OUT => PATT ); +------------------------------------------------------------------------------- +HORLOGE_CLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "clk.in") + port map ( CLOCK => CLK ); +------------------------------------------------------------------------------- +HORLOGE_BRCLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "brclk.in") + port map ( CLOCK => BRCLK ); +------------------------------------------------------------------------------- +DUT : UART + generic map (BRDIVISOR => 1) + port map( + WB_CLK_I => CLK, + WB_RST_I => PATT(0), + WB_ADR_I => PATT(2 downto 1), + WB_DAT_I => PATT(10 downto 3), + WB_DAT_O => VISU(7 downto 0), + WB_WE_I => PATT(11), + WB_STB_I => PATT(12), + WB_ACK_O => VISU(8), + + IntTx_O => VISU(9), + IntRx_O => VISU(10), + BR_Clk_I => BRCLK, + TxD_PAD_O => VISU(11), + RxD_PAD_I => PATT(13) + ); +------------------------------------------------------------------------------- + +end ARCH_TEST_BENCH; + Index: branches/avendor/sim/ModelSim/test_bench2/brclk.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/brclk.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/brclk.in (revision 22) @@ -0,0 +1,19 @@ +----------------------------- +-- file brclk.in +----------------------------- +-- +-- build a baudrate at 230415bpS +-- format : +-- p -> period +-- d -> delay / start. simu. > 0 +-- r -> cyclic ratio in % +-- or +-- h high state time +-- c -> cycle number (~pattern number) +---------------------- +p 4.34 us +d 100 ns +r 50 +c 500000 +-- +-- enf of file Index: branches/avendor/sim/ModelSim/test_bench2/wave.do =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/wave.do (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/wave.do (revision 22) @@ -0,0 +1,26 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider {WishBone signals} +add wave -noupdate -format Logic -label wb_rst_i -radix binary /test_miniuart/dut/wb_rst_i +add wave -noupdate -format Logic -label wb_clk_i -radix binary /test_miniuart/dut/wb_clk_i +add wave -noupdate -format Logic -label wb_stb_i -radix binary /test_miniuart/dut/wb_stb_i +add wave -noupdate -format Logic -label wb_ack_o -radix binary /test_miniuart/dut/wb_ack_o +add wave -noupdate -format Logic -label wb_we_i -radix binary /test_miniuart/dut/wb_we_i +add wave -noupdate -format Literal -label wb_adr_i -radix hexadecimal /test_miniuart/dut/wb_adr_i +add wave -noupdate -format Literal -label wb_dat_i -radix hexadecimal /test_miniuart/dut/wb_dat_i +add wave -noupdate -format Literal -label wb_dat_o -radix hexadecimal /test_miniuart/dut/wb_dat_o +add wave -noupdate -divider {UART signals} +add wave -noupdate -format Logic -label br_clk_i -radix binary /test_miniuart/dut/br_clk_i +add wave -noupdate -format Logic -label txd_pad_o -radix binary /test_miniuart/dut/txd_pad_o +add wave -noupdate -format Logic -label inttx_o -radix binary /test_miniuart/dut/inttx_o +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {141951 ns} +WaveRestoreZoom {96671 ns} {342281 ns} +configure wave -namecolwidth 110 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 Index: branches/avendor/sim/ModelSim/test_bench2/info.txt =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/info.txt (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/info.txt (revision 22) @@ -0,0 +1,9 @@ +This testbench will make the UART emit a char 0x50 en TxD line. + +at 200nS, the byte is written in the wb_dat_i vector. +at 4.43uS, the inttx_o signal goes low to indicate the transmiter is busy. +at 26.1uS, the inttx_o signal goes high. This rising edge indicates that the transmiter is + ready to accept an incoming byte. +at 210uS, the char has been emited. The status reg is read (at adr 1). Its value 01 indicates + that the transmiter is not busy (Bit0 = 1). +The baudrate is 57600bps. Index: branches/avendor/sim/ModelSim/test_bench2/test.bak =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/test.bak (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/test.bak (revision 22) @@ -0,0 +1,132 @@ +------------------------------------------------------------------------------- +-- Title : UART Testbench +-- Project : UART +------------------------------------------------------------------------------- +-- File : test.vhd +-- Author : Philippe CARTON +-- (philippe.carton2@libertysurf.fr) +-- Organization: +-- Created : 8/1/2003 +-- Last update : 9/1/2003 +-- Platform : Windows +-- Simulators : ModelSim 5.5b +-- Dependency : IEEE std_logic_1164, simu_lib +------------------------------------------------------------------------------- +-- Description : +-- test entity UART +------------------------------------------------------------------------------- +-- Copyright (c) notice +-- This core adheres to the GNU public license +-- +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; + +library simu_lib; +use simu_lib.HORLOGE; +use simu_lib.GEN_WAVE_BUS; + +library work; +use work.all; + +-------------------------------------------------------- +entity TEST_MINIUART is + generic( CHEMIN : string := "test_bench2/"); +end TEST_MINIUART; +---------------------------------------------------------------------- +-- architecture de type comportementale +---------------------------------------------------------------------- +architecture ARCH_TEST_BENCH OF TEST_MINIUART is + +------------------------------------------ +-- declaration des signaux internes de connection +------------------------------------------ +signal ZERO : std_logic; +signal UN : std_logic; + +signal PATT : std_logic_vector(13 downto 0); +signal VISU : std_logic_vector(11 downto 0); + +signal CLK : std_logic; -- Horloge systeme +signal BRCLK : std_logic; -- Horloge Baudrate + +------------------------------------------ +component HORLOGE + generic ( NOM_FICHIER_HORLOGE : string := "HORLOGE.IN" ); + port ( CLOCK : out std_logic ); +end component; + +------------------------------------------ +component GEN_WAVE_BUS + generic ( nb_bits : integer := 4; -- largeur bus + NOM_FICHIER_WAVE : string := "GEN_WAVE.IN" ); + port ( SIGNAL_OUT : out std_logic_vector((nb_bits-1) downto 0) ); +end component; + +------------------------------------------ +component UART + generic(BRDIVISOR: INTEGER range 0 to 65535 := 130); -- Baud rate divisor + port( +-- Wishbone signals + WB_CLK_I : in std_logic; -- clock + WB_RST_I : in std_logic; -- Reset input + WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus + WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus + WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus + WB_WE_I : in std_logic; -- Write Enable + WB_STB_I : in std_logic; -- Strobe + WB_ACK_O : out std_logic; -- Acknowledge +-- process signals + IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte + IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received + BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive + TxD_PAD_O: out std_logic; -- Tx RS232 Line + RxD_PAD_I: in std_logic -- Rx RS232 Line + ); +end component; + +----------------------------------------- +-- debut du corps de l'entite +------------------------------------------ +begin + UN <= '1'; + ZERO <= '0'; + +-------------------------------------- +GERE_BUS : GEN_WAVE_BUS + generic map ( nb_bits => PATT'length, + NOM_FICHIER_WAVE => CHEMIN & "patt.in") + port map ( SIGNAL_OUT => PATT ); +------------------------------------------ +HORLOGE_CLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "clk.in") + port map ( CLOCK => CLK ); +------------------------------------------ +HORLOGE_BRCLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "brclk.in") + port map ( CLOCK => BRCLK ); +------------------------------------------ +DUT : UART + generic map (BRDIVISOR => 1) + port map( + WB_CLK_I => CLK, + WB_RST_I => PATT(0), + WB_ADR_I => PATT(2 downto 1), + WB_DAT_I => PATT(10 downto 3), + WB_DAT_O => VISU(7 downto 0), + WB_WE_I => PATT(11), + WB_STB_I => PATT(12), + WB_ACK_O => VISU(8), + + IntTx_O => VISU(9), + IntRx_O => VISU(10), + BR_Clk_I => BRCLK, + TxD_PAD_O => VISU(11), + RxD_PAD_I => PATT(13) + ); + +-------------------------------------------- + +end ARCH_TEST_BENCH; + Index: branches/avendor/sim/ModelSim/test_bench2/patt.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/patt.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/patt.in (revision 22) @@ -0,0 +1,29 @@ +----------------------------- +-- file patt.IN +----------------------------- +-- clk 100 ns +-- +-- time run 300 us +------------------------------------------ +------------------------------------------ +-- WB_RST_I => PATT(0), +-- WB_ADR_I => PATT(2 downto 1), +-- WB_DAT_I => PATT(10 downto 3), +-- WB_DAT_O => VISU(7 downto 0), +-- WB_WE_I => PATT(11), +-- WB_STB_I => PATT(12), +-- WB_ACK_O => VISU(8), +-- +-- IntTx_O => VISU(9), +-- IntRx_O => VISU(10), +-- +-- TxD_PAD_O => VISU(11), +-- RxD_PAD_I => PATT(13) +-- +A 0 ns b_1_00_00000000_00_1 --rst async +R 200 ns b_1_11_01010000_00_0 --Write 0x50 in Tx register +R 100 ns b_1_00_00000000_00_0 --release strobe => start emit on TxD +R 210 us b_1_10_00000000_01_0 --Read Stat register +R 100 ns b_1_00_00000000_00_0 --release strobe +-- +-- end file \ No newline at end of file Index: branches/avendor/sim/ModelSim/test_bench2/clk.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench2/clk.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench2/clk.in (revision 22) @@ -0,0 +1,19 @@ +----------------------------- +-- file clk.in +----------------------------- +-- +-- build a clock signal at 10MHz +-- format : +-- p -> period +-- d -> delay / start. simu. > 0 +-- r -> cyclic ratio in % +-- or +-- h high state time +-- c -> cycle number (~pattern number) +---------------------- +p 100 ns +d 1 ns +r 50 +c 50000000 +-- +-- end of file Index: branches/avendor/sim/ModelSim/test_bench3/test.vhd =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/test.vhd (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/test.vhd (revision 22) @@ -0,0 +1,130 @@ +------------------------------------------------------------------------------- +-- Title : UART Testbench +-- Project : UART +------------------------------------------------------------------------------- +-- File : test.vhd +-- Author : Philippe CARTON +-- (philippe.carton2@libertysurf.fr) +-- Organization: +-- Created : 8/1/2003 +-- Last update : 9/1/2003 +-- Platform : Windows +-- Simulators : ModelSim 5.5b +-- Dependency : IEEE std_logic_1164, simu_lib +------------------------------------------------------------------------------- +-- Description : +-- test entity UART +------------------------------------------------------------------------------- +-- Copyright (c) notice +-- This core adheres to the GNU public license +-- +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; + +library simu_lib; +use simu_lib.HORLOGE; +use simu_lib.GEN_WAVE_BUS; + +library work; +use work.all; +------------------------------------------------------------------------------- +entity TEST_MINIUART is + generic( CHEMIN : string := "test_bench3/"); +end TEST_MINIUART; +------------------------------------------------------------------------------- +-- behavioural architecture type +------------------------------------------------------------------------------- +architecture ARCH_TEST_BENCH OF TEST_MINIUART is + +------------------------------------------------------------------------------- +-- internal signals connection declaration +------------------------------------------------------------------------------- +signal ZERO : std_logic; +signal UN : std_logic; + +signal PATT : std_logic_vector(13 downto 0); +signal VISU : std_logic_vector(11 downto 0); + +signal CLK : std_logic; -- system clock +signal BRCLK : std_logic; -- Baudrate clock + +------------------------------------------------------------------------------- +component HORLOGE + generic ( NOM_FICHIER_HORLOGE : string := "HORLOGE.IN" ); + port ( CLOCK : out std_logic ); +end component; + +------------------------------------------------------------------------------- +component GEN_WAVE_BUS + generic ( nb_bits : integer := 4; -- bus width + NOM_FICHIER_WAVE : string := "GEN_WAVE.IN" ); + port ( SIGNAL_OUT : out std_logic_vector((nb_bits-1) downto 0) ); +end component; + +------------------------------------------------------------------------------- +component UART + generic(BRDIVISOR: INTEGER range 0 to 65535 := 130); -- Baud rate divisor + port( +-- Wishbone signals + WB_CLK_I : in std_logic; -- clock + WB_RST_I : in std_logic; -- Reset input + WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus + WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus + WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus + WB_WE_I : in std_logic; -- Write Enable + WB_STB_I : in std_logic; -- Strobe + WB_ACK_O : out std_logic; -- Acknowledge +-- process signals + IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte + IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received + BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive + TxD_PAD_O: out std_logic; -- Tx RS232 Line + RxD_PAD_I: in std_logic -- Rx RS232 Line + ); +end component; + +------------------------------------------------------------------------------- +-- begin body entity +------------------------------------------------------------------------------- +begin + UN <= '1'; + ZERO <= '0'; + +------------------------------------------------------------------------------- +GERE_BUS : GEN_WAVE_BUS + generic map ( nb_bits => PATT'length, + NOM_FICHIER_WAVE => CHEMIN & "patt.in") + port map ( SIGNAL_OUT => PATT ); +------------------------------------------------------------------------------- +HORLOGE_CLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "clk.in") + port map ( CLOCK => CLK ); +------------------------------------------------------------------------------- +HORLOGE_BRCLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "brclk.in") + port map ( CLOCK => BRCLK ); +------------------------------------------------------------------------------- +DUT : UART + generic map (BRDIVISOR => 1) + port map( + WB_CLK_I => CLK, + WB_RST_I => PATT(0), + WB_ADR_I => PATT(2 downto 1), + WB_DAT_I => PATT(10 downto 3), + WB_DAT_O => VISU(7 downto 0), + WB_WE_I => PATT(11), + WB_STB_I => PATT(12), + WB_ACK_O => VISU(8), + + IntTx_O => VISU(9), + IntRx_O => VISU(10), + BR_Clk_I => BRCLK, + TxD_PAD_O => VISU(11), + RxD_PAD_I => PATT(13) + ); +------------------------------------------------------------------------------- + +end ARCH_TEST_BENCH; + Index: branches/avendor/sim/ModelSim/test_bench3/brclk.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/brclk.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/brclk.in (revision 22) @@ -0,0 +1,19 @@ +----------------------------- +-- file brclk.in +----------------------------- +-- +-- build a baudrate at 230415bpS +-- format : +-- p -> period +-- d -> delay / start. simu. > 0 +-- r -> cyclic ratio in % +-- or +-- h high state time +-- c -> cycle number (~pattern number) +---------------------- +p 4.34 us +d 100 ns +r 50 +c 500000 +-- +-- enf of file Index: branches/avendor/sim/ModelSim/test_bench3/wave.do =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/wave.do (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/wave.do (revision 22) @@ -0,0 +1,26 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider {WishBone signals} +add wave -noupdate -format Logic -label wb_rst_i -radix binary /test_miniuart/dut/wb_rst_i +add wave -noupdate -format Logic -label wb_clk_i -radix binary /test_miniuart/dut/wb_clk_i +add wave -noupdate -format Logic -label wb_stb_i -radix binary /test_miniuart/dut/wb_stb_i +add wave -noupdate -format Logic -label wb_ack_o -radix binary /test_miniuart/dut/wb_ack_o +add wave -noupdate -format Logic -label wb_we_i -radix binary /test_miniuart/dut/wb_we_i +add wave -noupdate -format Literal -label wb_adr_i -radix hexadecimal /test_miniuart/dut/wb_adr_i +add wave -noupdate -format Literal -label wb_dat_i -radix hexadecimal /test_miniuart/dut/wb_dat_i +add wave -noupdate -format Literal -label wb_dat_o -radix hexadecimal /test_miniuart/dut/wb_dat_o +add wave -noupdate -divider {UART signals} +add wave -noupdate -format Logic -label br_clk_i -radix binary /test_miniuart/dut/br_clk_i +add wave -noupdate -format Logic -label rxd_pad_i -radix binary /test_miniuart/dut/rxd_pad_i +add wave -noupdate -format Logic -label intrx_o -radix binary /test_miniuart/dut/intrx_o +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {141951 ns} +WaveRestoreZoom {0 ns} {245610 ns} +configure wave -namecolwidth 110 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 Index: branches/avendor/sim/ModelSim/test_bench3/info.txt =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/info.txt (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/info.txt (revision 22) @@ -0,0 +1,12 @@ +This testbench will simulate a BitStream on the RxD pad. The RxUnit unserialise it and +store it in the receive register. + +at 17.2uS, the RxD line is driven low. This is the start bit. +from 34.4uS to 139.2uS follows the others bits from 0 to 7. +at 139.2uS the RxD line is driven high. This is the stop bit. +at 173.6uS the intrx_o signal goes high. This indicates that a byte has been received by + the Rx unit. +at 190uS the status reg is read (adr 01). It contains the value 0x03. This indicates that + a char is available in the receive register (bit1 = 1). +at 200uS the receive buffer is read. It contains the value 0x32. +The baudrate is 57600bps. Index: branches/avendor/sim/ModelSim/test_bench3/test.bak =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/test.bak (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/test.bak (revision 22) @@ -0,0 +1,143 @@ +-------------------------------------------------------- +-- Title : UART Testbench +-- Project : UART +------------------------------------------------------- +------------------------------------------------------- +-- VHDL description (RTL level) +-- +------------------------------------------------------- +-- Designed by : ISIS_MPP +-- file : Interface.vhd +------------------------------------------------------- +-- Version : A +-- Author : P.CARTON +-- date : 24 Octobre 2002 +-- Description : +------------------------------------------------------- +-- Evolutions : +-- date Author Version Description +-- +------------------------------------------------------- +--===================================================== +--===================================================== +-------------------------------------------------------- +-- Auteur : N.PERRENOT +-- 30/09/02 +-- Version A +-------------------------------------------------------- +-- fichier 'test.vhd' +-------------------------------------------------------- +-- Fonction : +-- test entity UART +-------------------------------------------------------- +-------------------------------------------------------- +library IEEE; +use IEEE.std_logic_1164.all; + +library simu_lib; +use simu_lib.HORLOGE; +use simu_lib.GEN_WAVE_BUS; + +library work; +use work.all; + +-------------------------------------------------------- +entity TEST_MINIUART is + generic( CHEMIN : string := "test_bench3/"); +end TEST_MINIUART; +---------------------------------------------------------------------- +-- architecture de type comportementale +---------------------------------------------------------------------- +architecture ARCH_TEST_BENCH OF TEST_MINIUART is + +------------------------------------------ +-- declaration des signaux internes de connection +------------------------------------------ +signal ZERO : std_logic; +signal UN : std_logic; + +signal PATT : std_logic_vector(13 downto 0); +signal VISU : std_logic_vector(11 downto 0); + +signal CLK : std_logic; -- Horloge systeme +signal BRCLK : std_logic; -- Horloge Baudrate + +------------------------------------------ +component HORLOGE + generic ( NOM_FICHIER_HORLOGE : string := "HORLOGE.IN" ); + port ( CLOCK : out std_logic ); +end component; + +------------------------------------------ +component GEN_WAVE_BUS + generic ( nb_bits : integer := 4; -- largeur bus + NOM_FICHIER_WAVE : string := "GEN_WAVE.IN" ); + port ( SIGNAL_OUT : out std_logic_vector((nb_bits-1) downto 0) ); +end component; + +------------------------------------------ +component UART + generic(BRDIVISOR: INTEGER range 0 to 65535 := 130); -- Baud rate divisor + port( +-- Wishbone signals + WB_CLK_I : in std_logic; -- clock + WB_RST_I : in std_logic; -- Reset input + WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus + WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus + WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus + WB_WE_I : in std_logic; -- Write Enable + WB_STB_I : in std_logic; -- Strobe + WB_ACK_O : out std_logic; -- Acknowledge +-- process signals + IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte + IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received + BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive + TxD_PAD_O: out std_logic; -- Tx RS232 Line + RxD_PAD_I: in std_logic -- Rx RS232 Line + ); +end component; + +----------------------------------------- +-- debut du corps de l'entite +------------------------------------------ +begin + UN <= '1'; + ZERO <= '0'; + +-------------------------------------- +GERE_BUS : GEN_WAVE_BUS + generic map ( nb_bits => PATT'length, + NOM_FICHIER_WAVE => CHEMIN & "patt.in") + port map ( SIGNAL_OUT => PATT ); +------------------------------------------ +HORLOGE_CLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "clk.in") + port map ( CLOCK => CLK ); +------------------------------------------ +HORLOGE_BRCLK : HORLOGE + generic map ( NOM_FICHIER_HORLOGE => CHEMIN & "brclk.in") + port map ( CLOCK => BRCLK ); +------------------------------------------ +DUT : UART + generic map (BRDIVISOR => 1) + port map( + WB_CLK_I => CLK, + WB_RST_I => PATT(0), + WB_ADR_I => PATT(2 downto 1), + WB_DAT_I => PATT(10 downto 3), + WB_DAT_O => VISU(7 downto 0), + WB_WE_I => PATT(11), + WB_STB_I => PATT(12), + WB_ACK_O => VISU(8), + + IntTx_O => VISU(9), + IntRx_O => VISU(10), + BR_Clk_I => BRCLK, + TxD_PAD_O => VISU(11), + RxD_PAD_I => PATT(13) + ); + +-------------------------------------------- + +end ARCH_TEST_BENCH; + Index: branches/avendor/sim/ModelSim/test_bench3/patt.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/patt.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/patt.in (revision 22) @@ -0,0 +1,39 @@ +----------------------------- +-- file patt.IN +----------------------------- +-- clk 100 ns +-- +-- time run 220 us +------------------------------------------ +------------------------------------------ +-- WB_RST_I => PATT(0), +-- WB_ADR_I => PATT(2 downto 1), +-- WB_DAT_I => PATT(10 downto 3), +-- WB_DAT_O => VISU(7 downto 0), +-- WB_WE_I => PATT(11), +-- WB_STB_I => PATT(12), +-- WB_ACK_O => VISU(8), +-- +-- IntTx_O => VISU(9), +-- IntRx_O => VISU(10), +-- +-- TxD_PAD_O => VISU(11), +-- RxD_PAD_I => PATT(13) +-- +A 0 ns b_1_00_00000000_00_1 --rst async +R 17.36 us b_0_00_00000000_00_0 --start bit +R 17.36 us b_0_00_00000000_00_0 --bit 0 | +R 17.36 us b_1_00_00000000_00_0 --bit 1 | +R 17.36 us b_0_00_00000000_00_0 --bit 2 | +R 17.36 us b_0_00_00000000_00_0 --bit 3 } byte 0x32 +R 17.36 us b_1_00_00000000_00_0 --bit 4 | +R 17.36 us b_1_00_00000000_00_0 --bit 5 | +R 17.36 us b_0_00_00000000_00_0 --bit 6 | +R 17.36 us b_0_00_00000000_00_0 --bit 7 | +R 17.36 us b_1_00_00000000_00_0 --stop bit +A 190 us b_1_10_00000000_01_0 --read status register +R 100 ns b_1_00_00000000_00_0 --release strobe +A 200 us b_1_10_00000000_00_0 --read Rx register +R 100 ns b_1_00_00000000_00_0 --release strobe +-- +-- end file \ No newline at end of file Index: branches/avendor/sim/ModelSim/test_bench3/clk.in =================================================================== --- branches/avendor/sim/ModelSim/test_bench3/clk.in (nonexistent) +++ branches/avendor/sim/ModelSim/test_bench3/clk.in (revision 22) @@ -0,0 +1,19 @@ +----------------------------- +-- file clk.in +----------------------------- +-- +-- build a clock signal at 10MHz +-- format : +-- p -> period +-- d -> delay / start. simu. > 0 +-- r -> cyclic ratio in % +-- or +-- h high state time +-- c -> cycle number (~pattern number) +---------------------- +p 100 ns +d 1 ns +r 50 +c 50000000 +-- +-- end of file Index: branches/avendor/sim/ModelSim/work/counter/behaviour.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/counter/behaviour.psm =================================================================== --- branches/avendor/sim/ModelSim/work/counter/behaviour.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/counter/behaviour.psm (revision 22)
branches/avendor/sim/ModelSim/work/counter/behaviour.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/counter/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/counter/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/counter/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/counter/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/counter/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/counter/behaviour.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/counter/behaviour.dat =================================================================== --- branches/avendor/sim/ModelSim/work/counter/behaviour.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/counter/behaviour.dat (revision 22)
branches/avendor/sim/ModelSim/work/counter/behaviour.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_tx_uart/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_tx_uart/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_tx_uart/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_tx_uart/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_tx_uart/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_tx_uart/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/horloge/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/horloge/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/horloge/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/horloge/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/horloge/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/horloge/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/ram/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/ram/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/ram/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/ram/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/ram/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/ram/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_wave_bus/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_wave_bus/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_wave_bus/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_wave_bus/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_wave_bus/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_wave_bus/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/text_pkg/body.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/text_pkg/body.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/text_pkg/body.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/text_pkg/body.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/text_pkg/body.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/text_pkg/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/text_pkg/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/text_pkg/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/text_pkg/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/text_pkg/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/text_pkg/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/text_pkg/_vhdl.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/text_pkg/_vhdl.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/text_pkg/_vhdl.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/text_pkg/_vhdl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/text_pkg/body.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/text_pkg/body.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/text_pkg/body.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/text_pkg/body.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/text_pkg/body.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/body.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/body.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/conv_pkg/body.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/conv_pkg/body.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/conv_pkg/body.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/conv_pkg/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/conv_pkg/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/conv_pkg/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/_vhdl.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/conv_pkg/_vhdl.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/conv_pkg/_vhdl.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/conv_pkg/_vhdl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/body.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/conv_pkg/body.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/conv_pkg/body.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/conv_pkg/body.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/conv_pkg/body.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/acq_rx_uart/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/acq_rx_uart/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/acq_rx_uart/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/acq_rx_uart/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/acq_rx_uart/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/acq_rx_uart/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/_info =================================================================== --- branches/avendor/sim/ModelSim/work/work/_info (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/_info (revision 22) @@ -0,0 +1,202 @@ +m255 +o +cModel Technology +dP:\vhdl\test_bench +Eacq_rx_uart +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1018942578 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/acq_rx_uart.vhd +l0 +L45 +VN`nQ2aBMg;9G`5F_5QYX]0 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work acq_rx_uart N`nQ2aBMg;9G`5F_5QYX]0 +l59 +L57 +Ve=CGB[jdNi7dgkQX3 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +Bbody +DB work conv_pkg Gn1MaEX3 +l0 +L28 +VGn1MaEX3 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +nbody +Egen_tx_uart +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1018942556 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/gen_tx_uart.vhd +l0 +L47 +V;jP1HHUd[nzhboj7a10Ob3 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work gen_tx_uart ;jP1HHUd[nzhboj7a10Ob3 +l58 +L56 +V7H1lLg;[19hU9=9m5IRln3 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 work text_pkg +M1 std textio +o-work work -O0 +Egen_wave +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w992617256 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/gen_wave.vhd +l0 +L32 +VMKdXfIJ^;z;DM[SCP?Q4c2 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work gen_wave MKdXfIJ^;z;DM[SCP?Q4c2 +l44 +L42 +VmSn@;>mE^dAfV4OeX[`ee0 +OX;C;5.5b;15 +M2 ieee std_logic_1164 +M1 std textio +o-work work -O0 +Egen_wave_bus +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1018942521 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/gen_wave_bus.vhd +l0 +L62 +VPKmzNf8=?;chX^[8fbjPb1 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work gen_wave_bus PKmzNf8=?;chX^[8fbjPb1 +l87 +L83 +VH85cBki6F@^cbgJFhL`d@1 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 work text_pkg +M1 std textio +o-work work -O0 +Ehorloge +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w992617258 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/horloge.vhd +l0 +L39 +V?2R=Pz[Clda_KUmnAiM?i1 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work horloge ?2R=Pz[Clda_KUmnAiM?i1 +l61 +L56 +VD7g;7A[hL7_n;;dMn;72:52 +DP ieee std_logic_unsigned hEMVMlaNCR^8lAE8=^66dg:KZ7Zd0 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work ram 8lAE8=^66dg:KZ7Zd0 +l55 +L48 +VOd=U9lOW]k>:FBLFQnmoi3 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 ieee std_logic_unsigned +M1 ieee std_logic_arith +o-work work -O0 +Erom +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_arith XinSYO>L7_n;;dMn;72:52 +DP ieee std_logic_unsigned hEMVMlaNCR^YUdHkD?[P72 +OX;C;5.5b;15 +b1 +M2 ieee std_logic_1164 +M1 std textio +o-work work -O0 +Bbody +DB work text_pkg VbcA5VEHR7>YUdHkD?[P72 +l0 +L28 +VVbcA5VEHR7>YUdHkD?[P72 +OX;C;5.5b;15 +M2 ieee std_logic_1164 +M1 std textio +o-work work -O0 +nbody Index: branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_wave/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_wave/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_wave/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_wave/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_wave/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/gen_wave/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/rom/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/rom/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/work/rom/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/rom/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/work/rom/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/work/work/rom/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/synchroniser/behaviour.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/synchroniser/behaviour.psm =================================================================== --- branches/avendor/sim/ModelSim/work/synchroniser/behaviour.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/synchroniser/behaviour.psm (revision 22)
branches/avendor/sim/ModelSim/work/synchroniser/behaviour.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/synchroniser/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/synchroniser/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/synchroniser/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/synchroniser/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/synchroniser/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/synchroniser/behaviour.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/synchroniser/behaviour.dat =================================================================== --- branches/avendor/sim/ModelSim/work/synchroniser/behaviour.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/synchroniser/behaviour.dat (revision 22)
branches/avendor/sim/ModelSim/work/synchroniser/behaviour.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.dat =================================================================== --- branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.dat (revision 22)
branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/test_miniuart/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/test_miniuart/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/test_miniuart/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/test_miniuart/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/test_miniuart/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.psm =================================================================== --- branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.psm (revision 22)
branches/avendor/sim/ModelSim/work/test_miniuart/arch_test_bench.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/rxunit/behaviour.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/rxunit/behaviour.psm =================================================================== --- branches/avendor/sim/ModelSim/work/rxunit/behaviour.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/rxunit/behaviour.psm (revision 22)
branches/avendor/sim/ModelSim/work/rxunit/behaviour.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/rxunit/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/rxunit/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/rxunit/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/rxunit/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/rxunit/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/rxunit/behaviour.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/rxunit/behaviour.dat =================================================================== --- branches/avendor/sim/ModelSim/work/rxunit/behaviour.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/rxunit/behaviour.dat (revision 22)
branches/avendor/sim/ModelSim/work/rxunit/behaviour.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/_info =================================================================== --- branches/avendor/sim/ModelSim/work/_info (nonexistent) +++ branches/avendor/sim/ModelSim/work/_info (revision 22) @@ -0,0 +1,122 @@ +m255 +o +cModel Technology +dC:\pCarton\MiniUART\sim\rtl_sim +Ecounter +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1042039640 +dC:\pCarton\MiniUART\sim +FC:/pCarton/MiniUART/rtl/vhdl/utils.vhd +l0 +L102 +VL?a2E1W2Gf9^RP8;fhmJ_Z6@2 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +Erxunit +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1042099492 +dC:\pCarton\MiniUART\sim +FC:/pCarton/MiniUART/rtl/vhdl/Rxunit.vhd +l0 +L34 +VUTgE0PALQ:mTK>W;3oa5G2 +OX;C;5.5b;15 +o-work work -O0 +Abehaviour +DE work rxunit UTgE0PALQ:mTK>W;3oa5G2 +l48 +L45 +Vd=K9HHVIDCR_R75QK0]ak3 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +Esynchroniser +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1042039640 +dC:\pCarton\MiniUART\sim +FC:/pCarton/MiniUART/rtl/vhdl/utils.vhd +l0 +L50 +VE>bX;M:Q?@77bHNM5KNe31 +OX;C;5.5b;15 +o-work work -O0 +Abehaviour +DE work synchroniser E>bX;M:Q?@77bHNM5KNe31 +l61 +L57 +V1SKo_eAmK9lYYa2EcG9CU0 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +Etest_miniuart +DP simu_lib text_pkg VbcA5VEHR7>YUdHkD?[P72 +DE simu_lib gen_wave_bus PKmzNf8=?;chX^[8fbjPb1 +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DE simu_lib horloge ?2R=Pz[Clda_KUmnAiM?i1 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1042120822 +dC:\pCarton\MiniUART\sim\ModelSim +FC:/pCarton/MiniUART/sim/ModelSim/test_bench3/test.vhd +l0 +L45 +VFEE1cC[zI@iTK46b]]UV:1 +OX;C;5.5b;15 +o-work work -O0 +Aarch_test_bench +DE work uart Q[9lW;6Rg4GKOIhhPfL5T1 +DE work test_miniuart FEE1cC[zI@iTK46b]]UV:1 +l103 +L51 +VgR1cj0RAFO=aaPhOa>P771 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 std textio +M1 simu_lib text_pkg +o-work work -O0 +Etxunit +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1042023388 +FC:/pCarton/MiniUART/rtl/vhdl/Txunit.vhd +l0 +L35 +V0nhH^gcbkf>I=U^d=R0?=2 +OX;C;5.5b;15 +o-work work -O0 +Abehaviour +DE work synchroniser E>bX;M:Q?@77bHNM5KNe31 +DE work txunit 0nhH^gcbkf>I=U^d=R0?=2 +l60 +L46 +V9`AFZL?VHa>^:5jC]_1Qa0 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +Euart +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1042100220 +dC:\pCarton\MiniUART\sim +FC:/pCarton/MiniUART/rtl/vhdl/miniuart.vhd +l0 +L36 +VQ[9lW;6Rg4GKOIhhPfL5T1 +OX;C;5.5b;15 +o-work work -O0 +Abehaviour +DE work rxunit UTgE0PALQ:mTK>W;3oa5G2 +DE work txunit 0nhH^gcbkf>I=U^d=R0?=2 +DE work counter L?a2E1W2Gf9^RP8;fhSjQ87:X>Ck@OInkH;gF13 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 Index: branches/avendor/sim/ModelSim/work/uart/behaviour.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/uart/behaviour.psm =================================================================== --- branches/avendor/sim/ModelSim/work/uart/behaviour.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/uart/behaviour.psm (revision 22)
branches/avendor/sim/ModelSim/work/uart/behaviour.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/uart/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/uart/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/uart/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/uart/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/uart/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/uart/behaviour.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/uart/behaviour.dat =================================================================== --- branches/avendor/sim/ModelSim/work/uart/behaviour.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/uart/behaviour.dat (revision 22)
branches/avendor/sim/ModelSim/work/uart/behaviour.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/txunit/behaviour.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/txunit/behaviour.psm =================================================================== --- branches/avendor/sim/ModelSim/work/txunit/behaviour.psm (nonexistent) +++ branches/avendor/sim/ModelSim/work/txunit/behaviour.psm (revision 22)
branches/avendor/sim/ModelSim/work/txunit/behaviour.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/txunit/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/txunit/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/work/txunit/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/txunit/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/work/txunit/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/work/txunit/behaviour.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/work/txunit/behaviour.dat =================================================================== --- branches/avendor/sim/ModelSim/work/txunit/behaviour.dat (nonexistent) +++ branches/avendor/sim/ModelSim/work/txunit/behaviour.dat (revision 22)
branches/avendor/sim/ModelSim/work/txunit/behaviour.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/vsim.wlf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/vsim.wlf =================================================================== --- branches/avendor/sim/ModelSim/vsim.wlf (nonexistent) +++ branches/avendor/sim/ModelSim/vsim.wlf (revision 22)
branches/avendor/sim/ModelSim/vsim.wlf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/ram/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/horloge/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_tx_uart/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave_bus/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_vhdl.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_vhdl.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_vhdl.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/_vhdl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/text_pkg/body.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_vhdl.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_vhdl.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_vhdl.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/_vhdl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/conv_pkg/body.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/acq_rx_uart/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/_info =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/_info (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/_info (revision 22) @@ -0,0 +1,202 @@ +m255 +o +cModel Technology +dP:\vhdl\test_bench +Eacq_rx_uart +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1018942578 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/acq_rx_uart.vhd +l0 +L45 +VN`nQ2aBMg;9G`5F_5QYX]0 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work acq_rx_uart N`nQ2aBMg;9G`5F_5QYX]0 +l59 +L57 +Ve=CGB[jdNi7dgkQX3 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +Bbody +DB work conv_pkg Gn1MaEX3 +l0 +L28 +VGn1MaEX3 +OX;C;5.5b;15 +M1 ieee std_logic_1164 +o-work work -O0 +nbody +Egen_tx_uart +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1018942556 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/gen_tx_uart.vhd +l0 +L47 +V;jP1HHUd[nzhboj7a10Ob3 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work gen_tx_uart ;jP1HHUd[nzhboj7a10Ob3 +l58 +L56 +V7H1lLg;[19hU9=9m5IRln3 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 work text_pkg +M1 std textio +o-work work -O0 +Egen_wave +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w992617256 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/gen_wave.vhd +l0 +L32 +VMKdXfIJ^;z;DM[SCP?Q4c2 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work gen_wave MKdXfIJ^;z;DM[SCP?Q4c2 +l44 +L42 +VmSn@;>mE^dAfV4OeX[`ee0 +OX;C;5.5b;15 +M2 ieee std_logic_1164 +M1 std textio +o-work work -O0 +Egen_wave_bus +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w1018942521 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/gen_wave_bus.vhd +l0 +L62 +VPKmzNf8=?;chX^[8fbjPb1 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work gen_wave_bus PKmzNf8=?;chX^[8fbjPb1 +l87 +L83 +VH85cBki6F@^cbgJFhL`d@1 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 work text_pkg +M1 std textio +o-work work -O0 +Ehorloge +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2 +w992617258 +dP:\vhdl\test_bench\lib_modelsim_XE_5_5B +FP:/vhdl/test_bench/source_vhdl/entite/horloge.vhd +l0 +L39 +V?2R=Pz[Clda_KUmnAiM?i1 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work horloge ?2R=Pz[Clda_KUmnAiM?i1 +l61 +L56 +VD7g;7A[hL7_n;;dMn;72:52 +DP ieee std_logic_unsigned hEMVMlaNCR^8lAE8=^66dg:KZ7Zd0 +OX;C;5.5b;15 +o-work work -O0 +Aarch_comportementale +DE work ram 8lAE8=^66dg:KZ7Zd0 +l55 +L48 +VOd=U9lOW]k>:FBLFQnmoi3 +OX;C;5.5b;15 +M3 ieee std_logic_1164 +M2 ieee std_logic_unsigned +M1 ieee std_logic_arith +o-work work -O0 +Erom +DP std textio K]Z^fghZ6B=BjnK5NomDT3 +DP work text_pkg VbcA5VEHR7>YUdHkD?[P72 +DP ieee std_logic_arith XinSYO>L7_n;;dMn;72:52 +DP ieee std_logic_unsigned hEMVMlaNCR^YUdHkD?[P72 +OX;C;5.5b;15 +b1 +M2 ieee std_logic_1164 +M1 std textio +o-work work -O0 +Bbody +DB work text_pkg VbcA5VEHR7>YUdHkD?[P72 +l0 +L28 +VVbcA5VEHR7>YUdHkD?[P72 +OX;C;5.5b;15 +M2 ieee std_logic_1164 +M1 std textio +o-work work -O0 +nbody Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/gen_wave/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/_primary.dat =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/_primary.dat (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/_primary.dat (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.psm =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.psm (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.psm (revision 22)
branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/work/rom/arch_comportementale.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/lib_modelsim_5_5b.mpf =================================================================== --- branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/lib_modelsim_5_5b.mpf (nonexistent) +++ branches/avendor/sim/ModelSim/lib_modelsim_XE_5_5B/lib_modelsim_5_5b.mpf (revision 22) @@ -0,0 +1,207 @@ +[Library] + +; VHDL Section + +unisim = $MODEL_TECH/../xilinx/vhdl/unisim +logiblox = $MODEL_TECH/../xilinx/vhdl/logiblox +simprim = $MODEL_TECH/../xilinx/vhdl/simprim +xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib +aim = $MODEL_TECH/../xilinx/vhdl/aim +pls = $MODEL_TECH/../xilinx/vhdl/pls + +; Verilog Section + +unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver +uni9000 = $MODEL_TECH/../xilinx/verilog/uni9000 +simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver +xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver +aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver + + +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +work = work +[vcom] +; Turn on VHDL-1993 as the default. Normally is off. +; VHDL93 = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; Explicit = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = false + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +[vlog] + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turns on incremental compilation of modules +; Incremental = 1 + +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ps + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; License = plus + +; Stop the simulator after an assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Timf: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +;CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. For VHDL, PathSeparator = / +; for Verilog, PathSeparator = . +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, or deposit +; or in other terms, fixed, wired or charged. +; DefaultForceKind = freeze + +; If zero, open files when elaborated +; else open files on first read or write +; DelayFileOpen = 0 + +; Control VHDL files opened for write +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control number of VHDL files open concurrently +; This number should always be less then the +; current ulimit setting for max file descriptors +; 0 = unlimited +ConcurrentFileLimit = 40 + +; This controls the number of hierarchical regions displayed as +; part of a signal name shown in the waveform window. The default +; value or a value of zero tells VSIM to display the full name. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit +; packages. +; NumericStdNoWarnings = 1 + +; Control the format of a generate statement label. Don't quote it. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is to be compressed. +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +[lmc] +[project] +Project_Version = 1 +Project_DefaultLib = work +Project_SortMethod = alpha +Project_Files_Count = 0 Index: branches/avendor/sim/ModelSim/project.mpf =================================================================== --- branches/avendor/sim/ModelSim/project.mpf (nonexistent) +++ branches/avendor/sim/ModelSim/project.mpf (revision 22) @@ -0,0 +1,239 @@ +[Library] + +; VHDL Section + +unisim = $MODEL_TECH/../xilinx/vhdl/unisim +logiblox = $MODEL_TECH/../xilinx/vhdl/logiblox +simprim = $MODEL_TECH/../xilinx/vhdl/simprim +xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib +aim = $MODEL_TECH/../xilinx/vhdl/aim +pls = $MODEL_TECH/../xilinx/vhdl/pls + +; Verilog Section + +unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver +uni9000 = $MODEL_TECH/../xilinx/verilog/uni9000 +simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver +xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver +aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver + + +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +work = work +simu_lib = C:/pCarton/MiniUART/sim/ModelSim/lib_modelsim_XE_5_5B/work +[vcom] +; Turn on VHDL-1993 as the default. Normally is off. +; VHDL93 = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; Explicit = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = false + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +VHDL93 = 0 +NoDebug = 0 +Explicit = 0 +CheckSynthesis = 0 +NoVitalCheck = 0 +Optimize_1164 = 1 +NoVital = 0 +Quiet = 0 +Show_source = 0 +Show_Warning1 = 1 +Show_Warning2 = 1 +Show_Warning3 = 1 +Show_Warning4 = 1 +Show_Warning5 = 1 +[vlog] + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turns on incremental compilation of modules +; Incremental = 1 + +Quiet = 0 +Show_source = 0 +NoDebug = 0 +Hazard = 0 +UpCase = 0 +OptionFile = C:/pCarton/MiniUART/sim/vlog.opt +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = 1ns + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; License = plus + +; Stop the simulator after an assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Timf: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +;CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. For VHDL, PathSeparator = / +; for Verilog, PathSeparator = . +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, or deposit +; or in other terms, fixed, wired or charged. +; DefaultForceKind = freeze + +; If zero, open files when elaborated +; else open files on first read or write +; DelayFileOpen = 0 + +; Control VHDL files opened for write +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control number of VHDL files open concurrently +; This number should always be less then the +; current ulimit setting for max file descriptors +; 0 = unlimited +ConcurrentFileLimit = 40 + +; This controls the number of hierarchical regions displayed as +; part of a signal name shown in the waveform window. The default +; value or a value of zero tells VSIM to display the full name. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit +; packages. +; NumericStdNoWarnings = 1 + +; Control the format of a generate statement label. Don't quote it. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is to be compressed. +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +[lmc] +[project] +Project_Version = 1 +Project_DefaultLib = work +Project_SortMethod = alpha +Project_Files_Count = 5 +Project_File_0 = C:/pCarton/MiniUART/sim/ModelSim/test_bench3/test.vhd +Project_File_P_0 = vhdl_novitalcheck 0 file_type VHDL group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_vital 0 vhdl_warn1 1 vhdl_explicit 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_warn5 1 compile_to work compile_order 4 dont_compile 0 vhdl_use93 0 +Project_File_1 = C:/pCarton/MiniUART/rtl/vhdl/Txunit.vhd +Project_File_P_1 = vhdl_novitalcheck 0 file_type VHDL group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_vital 0 vhdl_warn1 1 vhdl_explicit 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_warn5 1 compile_to work compile_order 2 dont_compile 0 vhdl_use93 0 +Project_File_2 = C:/pCarton/MiniUART/rtl/vhdl/utils.vhd +Project_File_P_2 = vhdl_novitalcheck 0 file_type VHDL group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_vital 0 vhdl_warn1 1 vhdl_explicit 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_warn5 1 compile_to work compile_order 3 dont_compile 0 vhdl_use93 0 +Project_File_3 = C:/pCarton/MiniUART/rtl/vhdl/miniuart.vhd +Project_File_P_3 = vhdl_novitalcheck 0 file_type VHDL group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_vital 0 vhdl_warn1 1 vhdl_explicit 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_warn5 1 compile_to work compile_order 0 dont_compile 0 vhdl_use93 0 +Cur_Top_DUs = work.test_miniuart +Project_File_4 = C:/pCarton/MiniUART/rtl/vhdl/Rxunit.vhd +Project_File_P_4 = vhdl_novitalcheck 0 file_type VHDL group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_vital 0 vhdl_warn1 1 vhdl_explicit 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_warn5 1 compile_to work compile_order 1 dont_compile 0 vhdl_use93 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.