OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 21 to Rev 22
    Reverse comparison

Rev 21 → Rev 22

/tinycpu/trunk/src/fetch.vhd
27,7 → 27,7
architecture Behavioral of fetch is
signal IR: std_logic_vector(15 downto 0);
begin
process(Clock, AddressIn, DataIn)
process(Clock, AddressIn, DataIn, Enable)
begin
--if(rising_edge(Clock)) then
if(Enable='1') then
34,6 → 34,7
IR <= DataIn;
AddressOut <= AddressIn;
else
IR <= x"FFFF"; --avoid a latch
AddressOut <= "ZZZZZZZZZZZZZZZZ";
end if;
--end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.