OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 210 to Rev 211
    Reverse comparison

Rev 210 → Rev 211

/theia_gpu/branches/new_alu/test_bench/testbench.v
0,0 → 1,61
`timescale 1ns / 1ps
 
 
module testbench;
 
// Inputs
reg Clock;
reg Reset;
reg iEnable;
 
// Instantiate the Unit Under Test (UUT)
Unit_Execution uut (
.Clock(Clock),
.Reset(Reset),
.iEnable(iEnable)
);
 
 
 
Dumper DUMP();
//---------------------------------------------
//generate the clock signal here
always begin
#10 Clock = ! Clock;
end
//---------------------------------------------
 
reg [31:0] i;
 
initial begin
// Initialize Inputs
Clock = 0;
Reset = 0;
iEnable = 0;
//Load rams
$readmemh("Code.mem", uut.IM.Ram);
//$readmemh("Dummy.mem", uut.RF.Ram);
 
for (i = 0; i < 128; i = i + 1)
uut.II.SB.Ram[i] = 0;
/* for (i = 0; i < 32; i = i + 1)
uut.RF.RF_X.Ram[i] = 0;
for (i = 0; i < 32; i = i + 1)
uut.RF.RF_Y.Ram[i] = 0;
 
for (i = 0; i < 32; i = i + 1)
uut.RF.RF_Z.Ram[i] = 0; */
#110;
Reset = 1;
#40;
Reset = 0;
iEnable = 1;
 
end
endmodule
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.