OpenCores
URL https://opencores.org/ocsvn/dmt_tx/dmt_tx/trunk

Subversion Repositories dmt_tx

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 22 to Rev 23
    Reverse comparison

Rev 22 → Rev 23

/tags/import/doc/src/dmt_tx_part1_modem_spec.sxw Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
tags/import/doc/src/dmt_tx_part1_modem_spec.sxw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: tags/import/doc/dmt_tx_part1_modem_spec.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: tags/import/doc/dmt_tx_part1_modem_spec.pdf =================================================================== --- tags/import/doc/dmt_tx_part1_modem_spec.pdf (revision 22) +++ tags/import/doc/dmt_tx_part1_modem_spec.pdf (nonexistent)
tags/import/doc/dmt_tx_part1_modem_spec.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/gpl.txt =================================================================== --- trunk/gpl.txt (revision 22) +++ trunk/gpl.txt (nonexistent) @@ -1,674 +0,0 @@ - GNU GENERAL PUBLIC LICENSE - Version 3, 29 June 2007 - - Copyright (C) 2007 Free Software Foundation, Inc. - Everyone is permitted to copy and distribute verbatim copies - of this license document, but changing it is not allowed. - - Preamble - - The GNU General Public License is a free, copyleft license for -software and other kinds of works. - - The licenses for most software and other practical works are designed -to take away your freedom to share and change the works. By contrast, -the GNU General Public License is intended to guarantee your freedom to -share and change all versions of a program--to make sure it remains free -software for all its users. We, the Free Software Foundation, use the -GNU General Public License for most of our software; it applies also to -any other work released this way by its authors. You can apply it to -your programs, too. - - When we speak of free software, we are referring to freedom, not -price. Our General Public Licenses are designed to make sure that you -have the freedom to distribute copies of free software (and charge for -them if you wish), that you receive source code or can get it if you -want it, that you can change the software or use pieces of it in new -free programs, and that you know you can do these things. - - To protect your rights, we need to prevent others from denying you -these rights or asking you to surrender the rights. Therefore, you have -certain responsibilities if you distribute copies of the software, or if -you modify it: responsibilities to respect the freedom of others. - - For example, if you distribute copies of such a program, whether -gratis or for a fee, you must pass on to the recipients the same -freedoms that you received. You must make sure that they, too, receive -or can get the source code. And you must show them these terms so they -know their rights. - - Developers that use the GNU GPL protect your rights with two steps: -(1) assert copyright on the software, and (2) offer you this License -giving you legal permission to copy, distribute and/or modify it. - - For the developers' and authors' protection, the GPL clearly explains -that there is no warranty for this free software. For both users' and -authors' sake, the GPL requires that modified versions be marked as -changed, so that their problems will not be attributed erroneously to -authors of previous versions. - - Some devices are designed to deny users access to install or run -modified versions of the software inside them, although the manufacturer -can do so. This is fundamentally incompatible with the aim of -protecting users' freedom to change the software. The systematic -pattern of such abuse occurs in the area of products for individuals to -use, which is precisely where it is most unacceptable. Therefore, we -have designed this version of the GPL to prohibit the practice for those -products. If such problems arise substantially in other domains, we -stand ready to extend this provision to those domains in future versions -of the GPL, as needed to protect the freedom of users. - - Finally, every program is threatened constantly by software patents. -States should not allow patents to restrict development and use of -software on general-purpose computers, but in those that do, we wish to -avoid the special danger that patents applied to a free program could -make it effectively proprietary. To prevent this, the GPL assures that -patents cannot be used to render the program non-free. - - The precise terms and conditions for copying, distribution and -modification follow. - - TERMS AND CONDITIONS - - 0. Definitions. - - "This License" refers to version 3 of the GNU General Public License. - - "Copyright" also means copyright-like laws that apply to other kinds of -works, such as semiconductor masks. - - "The Program" refers to any copyrightable work licensed under this -License. Each licensee is addressed as "you". "Licensees" and -"recipients" may be individuals or organizations. - - To "modify" a work means to copy from or adapt all or part of the work -in a fashion requiring copyright permission, other than the making of an -exact copy. The resulting work is called a "modified version" of the -earlier work or a work "based on" the earlier work. - - A "covered work" means either the unmodified Program or a work based -on the Program. - - To "propagate" a work means to do anything with it that, without -permission, would make you directly or secondarily liable for -infringement under applicable copyright law, except executing it on a -computer or modifying a private copy. Propagation includes copying, -distribution (with or without modification), making available to the -public, and in some countries other activities as well. - - To "convey" a work means any kind of propagation that enables other -parties to make or receive copies. Mere interaction with a user through -a computer network, with no transfer of a copy, is not conveying. - - An interactive user interface displays "Appropriate Legal Notices" -to the extent that it includes a convenient and prominently visible -feature that (1) displays an appropriate copyright notice, and (2) -tells the user that there is no warranty for the work (except to the -extent that warranties are provided), that licensees may convey the -work under this License, and how to view a copy of this License. If -the interface presents a list of user commands or options, such as a -menu, a prominent item in the list meets this criterion. - - 1. Source Code. - - The "source code" for a work means the preferred form of the work -for making modifications to it. "Object code" means any non-source -form of a work. - - A "Standard Interface" means an interface that either is an official -standard defined by a recognized standards body, or, in the case of -interfaces specified for a particular programming language, one that -is widely used among developers working in that language. - - The "System Libraries" of an executable work include anything, other -than the work as a whole, that (a) is included in the normal form of -packaging a Major Component, but which is not part of that Major -Component, and (b) serves only to enable use of the work with that -Major Component, or to implement a Standard Interface for which an -implementation is available to the public in source code form. A -"Major Component", in this context, means a major essential component -(kernel, window system, and so on) of the specific operating system -(if any) on which the executable work runs, or a compiler used to -produce the work, or an object code interpreter used to run it. - - The "Corresponding Source" for a work in object code form means all -the source code needed to generate, install, and (for an executable -work) run the object code and to modify the work, including scripts to -control those activities. However, it does not include the work's -System Libraries, or general-purpose tools or generally available free -programs which are used unmodified in performing those activities but -which are not part of the work. For example, Corresponding Source -includes interface definition files associated with source files for -the work, and the source code for shared libraries and dynamically -linked subprograms that the work is specifically designed to require, -such as by intimate data communication or control flow between those -subprograms and other parts of the work. - - The Corresponding Source need not include anything that users -can regenerate automatically from other parts of the Corresponding -Source. - - The Corresponding Source for a work in source code form is that -same work. - - 2. Basic Permissions. - - All rights granted under this License are granted for the term of -copyright on the Program, and are irrevocable provided the stated -conditions are met. This License explicitly affirms your unlimited -permission to run the unmodified Program. The output from running a -covered work is covered by this License only if the output, given its -content, constitutes a covered work. This License acknowledges your -rights of fair use or other equivalent, as provided by copyright law. - - You may make, run and propagate covered works that you do not -convey, without conditions so long as your license otherwise remains -in force. You may convey covered works to others for the sole purpose -of having them make modifications exclusively for you, or provide you -with facilities for running those works, provided that you comply with -the terms of this License in conveying all material for which you do -not control copyright. Those thus making or running the covered works -for you must do so exclusively on your behalf, under your direction -and control, on terms that prohibit them from making any copies of -your copyrighted material outside their relationship with you. - - Conveying under any other circumstances is permitted solely under -the conditions stated below. Sublicensing is not allowed; section 10 -makes it unnecessary. - - 3. Protecting Users' Legal Rights From Anti-Circumvention Law. - - No covered work shall be deemed part of an effective technological -measure under any applicable law fulfilling obligations under article -11 of the WIPO copyright treaty adopted on 20 December 1996, or -similar laws prohibiting or restricting circumvention of such -measures. - - When you convey a covered work, you waive any legal power to forbid -circumvention of technological measures to the extent such circumvention -is effected by exercising rights under this License with respect to -the covered work, and you disclaim any intention to limit operation or -modification of the work as a means of enforcing, against the work's -users, your or third parties' legal rights to forbid circumvention of -technological measures. - - 4. Conveying Verbatim Copies. - - You may convey verbatim copies of the Program's source code as you -receive it, in any medium, provided that you conspicuously and -appropriately publish on each copy an appropriate copyright notice; -keep intact all notices stating that this License and any -non-permissive terms added in accord with section 7 apply to the code; -keep intact all notices of the absence of any warranty; and give all -recipients a copy of this License along with the Program. - - You may charge any price or no price for each copy that you convey, -and you may offer support or warranty protection for a fee. - - 5. Conveying Modified Source Versions. - - You may convey a work based on the Program, or the modifications to -produce it from the Program, in the form of source code under the -terms of section 4, provided that you also meet all of these conditions: - - a) The work must carry prominent notices stating that you modified - it, and giving a relevant date. - - b) The work must carry prominent notices stating that it is - released under this License and any conditions added under section - 7. This requirement modifies the requirement in section 4 to - "keep intact all notices". - - c) You must license the entire work, as a whole, under this - License to anyone who comes into possession of a copy. This - License will therefore apply, along with any applicable section 7 - additional terms, to the whole of the work, and all its parts, - regardless of how they are packaged. This License gives no - permission to license the work in any other way, but it does not - invalidate such permission if you have separately received it. - - d) If the work has interactive user interfaces, each must display - Appropriate Legal Notices; however, if the Program has interactive - interfaces that do not display Appropriate Legal Notices, your - work need not make them do so. - - A compilation of a covered work with other separate and independent -works, which are not by their nature extensions of the covered work, -and which are not combined with it such as to form a larger program, -in or on a volume of a storage or distribution medium, is called an -"aggregate" if the compilation and its resulting copyright are not -used to limit the access or legal rights of the compilation's users -beyond what the individual works permit. Inclusion of a covered work -in an aggregate does not cause this License to apply to the other -parts of the aggregate. - - 6. Conveying Non-Source Forms. - - You may convey a covered work in object code form under the terms -of sections 4 and 5, provided that you also convey the -machine-readable Corresponding Source under the terms of this License, -in one of these ways: - - a) Convey the object code in, or embodied in, a physical product - (including a physical distribution medium), accompanied by the - Corresponding Source fixed on a durable physical medium - customarily used for software interchange. - - b) Convey the object code in, or embodied in, a physical product - (including a physical distribution medium), accompanied by a - written offer, valid for at least three years and valid for as - long as you offer spare parts or customer support for that product - model, to give anyone who possesses the object code either (1) a - copy of the Corresponding Source for all the software in the - product that is covered by this License, on a durable physical - medium customarily used for software interchange, for a price no - more than your reasonable cost of physically performing this - conveying of source, or (2) access to copy the - Corresponding Source from a network server at no charge. - - c) Convey individual copies of the object code with a copy of the - written offer to provide the Corresponding Source. This - alternative is allowed only occasionally and noncommercially, and - only if you received the object code with such an offer, in accord - with subsection 6b. - - d) Convey the object code by offering access from a designated - place (gratis or for a charge), and offer equivalent access to the - Corresponding Source in the same way through the same place at no - further charge. You need not require recipients to copy the - Corresponding Source along with the object code. If the place to - copy the object code is a network server, the Corresponding Source - may be on a different server (operated by you or a third party) - that supports equivalent copying facilities, provided you maintain - clear directions next to the object code saying where to find the - Corresponding Source. Regardless of what server hosts the - Corresponding Source, you remain obligated to ensure that it is - available for as long as needed to satisfy these requirements. - - e) Convey the object code using peer-to-peer transmission, provided - you inform other peers where the object code and Corresponding - Source of the work are being offered to the general public at no - charge under subsection 6d. - - A separable portion of the object code, whose source code is excluded -from the Corresponding Source as a System Library, need not be -included in conveying the object code work. - - A "User Product" is either (1) a "consumer product", which means any -tangible personal property which is normally used for personal, family, -or household purposes, or (2) anything designed or sold for incorporation -into a dwelling. In determining whether a product is a consumer product, -doubtful cases shall be resolved in favor of coverage. For a particular -product received by a particular user, "normally used" refers to a -typical or common use of that class of product, regardless of the status -of the particular user or of the way in which the particular user -actually uses, or expects or is expected to use, the product. A product -is a consumer product regardless of whether the product has substantial -commercial, industrial or non-consumer uses, unless such uses represent -the only significant mode of use of the product. - - "Installation Information" for a User Product means any methods, -procedures, authorization keys, or other information required to install -and execute modified versions of a covered work in that User Product from -a modified version of its Corresponding Source. The information must -suffice to ensure that the continued functioning of the modified object -code is in no case prevented or interfered with solely because -modification has been made. - - If you convey an object code work under this section in, or with, or -specifically for use in, a User Product, and the conveying occurs as -part of a transaction in which the right of possession and use of the -User Product is transferred to the recipient in perpetuity or for a -fixed term (regardless of how the transaction is characterized), the -Corresponding Source conveyed under this section must be accompanied -by the Installation Information. But this requirement does not apply -if neither you nor any third party retains the ability to install -modified object code on the User Product (for example, the work has -been installed in ROM). - - The requirement to provide Installation Information does not include a -requirement to continue to provide support service, warranty, or updates -for a work that has been modified or installed by the recipient, or for -the User Product in which it has been modified or installed. Access to a -network may be denied when the modification itself materially and -adversely affects the operation of the network or violates the rules and -protocols for communication across the network. - - Corresponding Source conveyed, and Installation Information provided, -in accord with this section must be in a format that is publicly -documented (and with an implementation available to the public in -source code form), and must require no special password or key for -unpacking, reading or copying. - - 7. Additional Terms. - - "Additional permissions" are terms that supplement the terms of this -License by making exceptions from one or more of its conditions. -Additional permissions that are applicable to the entire Program shall -be treated as though they were included in this License, to the extent -that they are valid under applicable law. If additional permissions -apply only to part of the Program, that part may be used separately -under those permissions, but the entire Program remains governed by -this License without regard to the additional permissions. - - When you convey a copy of a covered work, you may at your option -remove any additional permissions from that copy, or from any part of -it. (Additional permissions may be written to require their own -removal in certain cases when you modify the work.) You may place -additional permissions on material, added by you to a covered work, -for which you have or can give appropriate copyright permission. - - Notwithstanding any other provision of this License, for material you -add to a covered work, you may (if authorized by the copyright holders of -that material) supplement the terms of this License with terms: - - a) Disclaiming warranty or limiting liability differently from the - terms of sections 15 and 16 of this License; or - - b) Requiring preservation of specified reasonable legal notices or - author attributions in that material or in the Appropriate Legal - Notices displayed by works containing it; or - - c) Prohibiting misrepresentation of the origin of that material, or - requiring that modified versions of such material be marked in - reasonable ways as different from the original version; or - - d) Limiting the use for publicity purposes of names of licensors or - authors of the material; or - - e) Declining to grant rights under trademark law for use of some - trade names, trademarks, or service marks; or - - f) Requiring indemnification of licensors and authors of that - material by anyone who conveys the material (or modified versions of - it) with contractual assumptions of liability to the recipient, for - any liability that these contractual assumptions directly impose on - those licensors and authors. - - All other non-permissive additional terms are considered "further -restrictions" within the meaning of section 10. If the Program as you -received it, or any part of it, contains a notice stating that it is -governed by this License along with a term that is a further -restriction, you may remove that term. If a license document contains -a further restriction but permits relicensing or conveying under this -License, you may add to a covered work material governed by the terms -of that license document, provided that the further restriction does -not survive such relicensing or conveying. - - If you add terms to a covered work in accord with this section, you -must place, in the relevant source files, a statement of the -additional terms that apply to those files, or a notice indicating -where to find the applicable terms. - - Additional terms, permissive or non-permissive, may be stated in the -form of a separately written license, or stated as exceptions; -the above requirements apply either way. - - 8. Termination. - - You may not propagate or modify a covered work except as expressly -provided under this License. Any attempt otherwise to propagate or -modify it is void, and will automatically terminate your rights under -this License (including any patent licenses granted under the third -paragraph of section 11). - - However, if you cease all violation of this License, then your -license from a particular copyright holder is reinstated (a) -provisionally, unless and until the copyright holder explicitly and -finally terminates your license, and (b) permanently, if the copyright -holder fails to notify you of the violation by some reasonable means -prior to 60 days after the cessation. - - Moreover, your license from a particular copyright holder is -reinstated permanently if the copyright holder notifies you of the -violation by some reasonable means, this is the first time you have -received notice of violation of this License (for any work) from that -copyright holder, and you cure the violation prior to 30 days after -your receipt of the notice. - - Termination of your rights under this section does not terminate the -licenses of parties who have received copies or rights from you under -this License. If your rights have been terminated and not permanently -reinstated, you do not qualify to receive new licenses for the same -material under section 10. - - 9. Acceptance Not Required for Having Copies. - - You are not required to accept this License in order to receive or -run a copy of the Program. Ancillary propagation of a covered work -occurring solely as a consequence of using peer-to-peer transmission -to receive a copy likewise does not require acceptance. However, -nothing other than this License grants you permission to propagate or -modify any covered work. These actions infringe copyright if you do -not accept this License. Therefore, by modifying or propagating a -covered work, you indicate your acceptance of this License to do so. - - 10. Automatic Licensing of Downstream Recipients. - - Each time you convey a covered work, the recipient automatically -receives a license from the original licensors, to run, modify and -propagate that work, subject to this License. You are not responsible -for enforcing compliance by third parties with this License. - - An "entity transaction" is a transaction transferring control of an -organization, or substantially all assets of one, or subdividing an -organization, or merging organizations. If propagation of a covered -work results from an entity transaction, each party to that -transaction who receives a copy of the work also receives whatever -licenses to the work the party's predecessor in interest had or could -give under the previous paragraph, plus a right to possession of the -Corresponding Source of the work from the predecessor in interest, if -the predecessor has it or can get it with reasonable efforts. - - You may not impose any further restrictions on the exercise of the -rights granted or affirmed under this License. For example, you may -not impose a license fee, royalty, or other charge for exercise of -rights granted under this License, and you may not initiate litigation -(including a cross-claim or counterclaim in a lawsuit) alleging that -any patent claim is infringed by making, using, selling, offering for -sale, or importing the Program or any portion of it. - - 11. Patents. - - A "contributor" is a copyright holder who authorizes use under this -License of the Program or a work on which the Program is based. The -work thus licensed is called the contributor's "contributor version". - - A contributor's "essential patent claims" are all patent claims -owned or controlled by the contributor, whether already acquired or -hereafter acquired, that would be infringed by some manner, permitted -by this License, of making, using, or selling its contributor version, -but do not include claims that would be infringed only as a -consequence of further modification of the contributor version. For -purposes of this definition, "control" includes the right to grant -patent sublicenses in a manner consistent with the requirements of -this License. - - Each contributor grants you a non-exclusive, worldwide, royalty-free -patent license under the contributor's essential patent claims, to -make, use, sell, offer for sale, import and otherwise run, modify and -propagate the contents of its contributor version. - - In the following three paragraphs, a "patent license" is any express -agreement or commitment, however denominated, not to enforce a patent -(such as an express permission to practice a patent or covenant not to -sue for patent infringement). To "grant" such a patent license to a -party means to make such an agreement or commitment not to enforce a -patent against the party. - - If you convey a covered work, knowingly relying on a patent license, -and the Corresponding Source of the work is not available for anyone -to copy, free of charge and under the terms of this License, through a -publicly available network server or other readily accessible means, -then you must either (1) cause the Corresponding Source to be so -available, or (2) arrange to deprive yourself of the benefit of the -patent license for this particular work, or (3) arrange, in a manner -consistent with the requirements of this License, to extend the patent -license to downstream recipients. "Knowingly relying" means you have -actual knowledge that, but for the patent license, your conveying the -covered work in a country, or your recipient's use of the covered work -in a country, would infringe one or more identifiable patents in that -country that you have reason to believe are valid. - - If, pursuant to or in connection with a single transaction or -arrangement, you convey, or propagate by procuring conveyance of, a -covered work, and grant a patent license to some of the parties -receiving the covered work authorizing them to use, propagate, modify -or convey a specific copy of the covered work, then the patent license -you grant is automatically extended to all recipients of the covered -work and works based on it. - - A patent license is "discriminatory" if it does not include within -the scope of its coverage, prohibits the exercise of, or is -conditioned on the non-exercise of one or more of the rights that are -specifically granted under this License. You may not convey a covered -work if you are a party to an arrangement with a third party that is -in the business of distributing software, under which you make payment -to the third party based on the extent of your activity of conveying -the work, and under which the third party grants, to any of the -parties who would receive the covered work from you, a discriminatory -patent license (a) in connection with copies of the covered work -conveyed by you (or copies made from those copies), or (b) primarily -for and in connection with specific products or compilations that -contain the covered work, unless you entered into that arrangement, -or that patent license was granted, prior to 28 March 2007. - - Nothing in this License shall be construed as excluding or limiting -any implied license or other defenses to infringement that may -otherwise be available to you under applicable patent law. - - 12. No Surrender of Others' Freedom. - - If conditions are imposed on you (whether by court order, agreement or -otherwise) that contradict the conditions of this License, they do not -excuse you from the conditions of this License. If you cannot convey a -covered work so as to satisfy simultaneously your obligations under this -License and any other pertinent obligations, then as a consequence you may -not convey it at all. For example, if you agree to terms that obligate you -to collect a royalty for further conveying from those to whom you convey -the Program, the only way you could satisfy both those terms and this -License would be to refrain entirely from conveying the Program. - - 13. Use with the GNU Affero General Public License. - - Notwithstanding any other provision of this License, you have -permission to link or combine any covered work with a work licensed -under version 3 of the GNU Affero General Public License into a single -combined work, and to convey the resulting work. The terms of this -License will continue to apply to the part which is the covered work, -but the special requirements of the GNU Affero General Public License, -section 13, concerning interaction through a network will apply to the -combination as such. - - 14. Revised Versions of this License. - - The Free Software Foundation may publish revised and/or new versions of -the GNU General Public License from time to time. Such new versions will -be similar in spirit to the present version, but may differ in detail to -address new problems or concerns. - - Each version is given a distinguishing version number. If the -Program specifies that a certain numbered version of the GNU General -Public License "or any later version" applies to it, you have the -option of following the terms and conditions either of that numbered -version or of any later version published by the Free Software -Foundation. If the Program does not specify a version number of the -GNU General Public License, you may choose any version ever published -by the Free Software Foundation. - - If the Program specifies that a proxy can decide which future -versions of the GNU General Public License can be used, that proxy's -public statement of acceptance of a version permanently authorizes you -to choose that version for the Program. - - Later license versions may give you additional or different -permissions. However, no additional obligations are imposed on any -author or copyright holder as a result of your choosing to follow a -later version. - - 15. Disclaimer of Warranty. - - THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY -APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT -HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY -OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, -THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM -IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF -ALL NECESSARY SERVICING, REPAIR OR CORRECTION. - - 16. Limitation of Liability. - - IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING -WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS -THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY -GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE -USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF -DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD -PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), -EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF -SUCH DAMAGES. - - 17. Interpretation of Sections 15 and 16. - - If the disclaimer of warranty and limitation of liability provided -above cannot be given local legal effect according to their terms, -reviewing courts shall apply local law that most closely approximates -an absolute waiver of all civil liability in connection with the -Program, unless a warranty or assumption of liability accompanies a -copy of the Program in return for a fee. - - END OF TERMS AND CONDITIONS - - How to Apply These Terms to Your New Programs - - If you develop a new program, and you want it to be of the greatest -possible use to the public, the best way to achieve this is to make it -free software which everyone can redistribute and change under these terms. - - To do so, attach the following notices to the program. It is safest -to attach them to the start of each source file to most effectively -state the exclusion of warranty; and each file should have at least -the "copyright" line and a pointer to where the full notice is found. - - - Copyright (C) - - This program is free software: you can redistribute it and/or modify - it under the terms of the GNU General Public License as published by - the Free Software Foundation, either version 3 of the License, or - (at your option) any later version. - - This program is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - GNU General Public License for more details. - - You should have received a copy of the GNU General Public License - along with this program. If not, see . - -Also add information on how to contact you by electronic and paper mail. - - If the program does terminal interaction, make it output a short -notice like this when it starts in an interactive mode: - - Copyright (C) - This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. - This is free software, and you are welcome to redistribute it - under certain conditions; type `show c' for details. - -The hypothetical commands `show w' and `show c' should show the appropriate -parts of the General Public License. Of course, your program's commands -might be different; for a GUI interface, you would use an "about box". - - You should also get your employer (if you work as a programmer) or school, -if any, to sign a "copyright disclaimer" for the program, if necessary. -For more information on this, and how to apply and follow the GNU GPL, see -. - - The GNU General Public License does not permit incorporating your program -into proprietary programs. If your program is a subroutine library, you -may consider it more useful to permit linking proprietary applications with -the library. If this is what you want to do, use the GNU Lesser General -Public License instead of this License. But first, please read -. Index: trunk/const_encoder/Makefile =================================================================== --- trunk/const_encoder/Makefile (revision 22) +++ trunk/const_encoder/Makefile (nonexistent) @@ -1,45 +0,0 @@ -CC = iverilog -SIM = vvp - -CFLAGS =-Wall -Irtl/ - -vpath %.v rtl tb -vpath %.vh rtl - -FIFO = fifo.v \ - generic_dpram.v -CONST_ENC = $(FIFO) \ - const_enc.v - - - -.PHONY: all sim doc clean - -all: sim - - -sim: fifo const_enc - - -const_enc: const_enc.vvp - @echo -e "\n--> Simulating $@" - $(SIM) $^ - -const_enc.vvp: tb_const_enc.v const_map_data.v $(CONST_ENC) - $(CC) $(CFLAGS) $^ -s tb_const_encoder -o $@ - -fifo: fifo.vvp - @echo -e "\n--> Simulating $@" - $(SIM) $^ - -fifo.vvp: tb_fifo.v $(FIFO) - @echo -e "\n--> Compiling $@" - $(CC) $(CFLAGS) $^ -s tb_fifo -o $@ - -doc: - $(MAKE) -C doc/ - - -clean: - rm -f *.vvp - $(MAKE) -C doc/ cleantex Index: trunk/const_encoder/rtl/generic_dpram.v =================================================================== --- trunk/const_encoder/rtl/generic_dpram.v (revision 22) +++ trunk/const_encoder/rtl/generic_dpram.v (nonexistent) @@ -1,507 +0,0 @@ -////////////////////////////////////////////////////////////////////// -//// //// -//// Generic Dual-Port Synchronous RAM //// -//// //// -//// This file is part of memory library available from //// -//// http://www.opencores.org/cvsweb.shtml/generic_memories/ //// -//// //// -//// Description //// -//// This block is a wrapper with common dual-port //// -//// synchronous memory interface for different //// -//// types of ASIC and FPGA RAMs. Beside universal memory //// -//// interface it also provides behavioral model of generic //// -//// dual-port synchronous RAM. //// -//// It also contains a fully synthesizeable model for FPGAs. //// -//// It should be used in all OPENCORES designs that want to be //// -//// portable accross different target technologies and //// -//// independent of target memory. //// -//// //// -//// Supported ASIC RAMs are: //// -//// - Artisan Dual-Port Sync RAM //// -//// - Avant! Two-Port Sync RAM (*) //// -//// - Virage 2-port Sync RAM //// -//// //// -//// Supported FPGA RAMs are: //// -//// - Generic FPGA (VENDOR_FPGA) //// -//// Tested RAMs: Altera, Xilinx //// -//// Synthesis tools: LeonardoSpectrum, Synplicity //// -//// - Xilinx (VENDOR_XILINX) //// -//// - Altera (VENDOR_ALTERA) //// -//// //// -//// To Do: //// -//// - fix Avant! //// -//// - add additional RAMs (VS etc) //// -//// //// -//// Author(s): //// -//// - Richard Herveille, richard@asics.ws //// -//// - Damjan Lampret, lampret@opencores.org //// -//// //// -////////////////////////////////////////////////////////////////////// -//// //// -//// Copyright (C) 2000 Authors and OPENCORES.ORG //// -//// //// -//// This source file may be used and distributed without //// -//// restriction provided that this copyright statement is not //// -//// removed from the file and that any derivative work contains //// -//// the original copyright notice and the associated disclaimer. //// -//// //// -//// This source file is free software; you can redistribute it //// -//// and/or modify it under the terms of the GNU Lesser General //// -//// Public License as published by the Free Software Foundation; //// -//// either version 2.1 of the License, or (at your option) any //// -//// later version. //// -//// //// -//// This source is distributed in the hope that it will be //// -//// useful, but WITHOUT ANY WARRANTY; without even the implied //// -//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// -//// PURPOSE. See the GNU Lesser General Public License for more //// -//// details. //// -//// //// -//// You should have received a copy of the GNU Lesser General //// -//// Public License along with this source; if not, download it //// -//// from http://www.opencores.org/lgpl.shtml //// -//// //// -////////////////////////////////////////////////////////////////////// -// -// CVS Revision History -// -// $Log: not supported by cvs2svn $ -// Revision 1.4 2002/09/28 08:18:52 rherveille -// Changed synthesizeable FPGA memory implementation. -// Fixed some issues with Xilinx BlockRAM -// -// Revision 1.3 2001/11/09 00:34:18 samg -// minor changes: unified with all common rams -// -// Revision 1.2 2001/11/08 19:11:31 samg -// added valid checks to behvioral model -// -// Revision 1.1.1.1 2001/09/14 09:57:10 rherveille -// Major cleanup. -// Files are now compliant to Altera & Xilinx memories. -// Memories are now compatible, i.e. drop-in replacements. -// Added synthesizeable generic FPGA description. -// Created "generic_memories" cvs entry. -// -// Revision 1.1.1.2 2001/08/21 13:09:27 damjan -// *** empty log message *** -// -// Revision 1.1 2001/08/20 18:23:20 damjan -// Initial revision -// -// Revision 1.1 2001/08/09 13:39:33 lampret -// Major clean-up. -// -// Revision 1.2 2001/07/30 05:38:02 lampret -// Adding empty directories required by HDL coding guidelines -// -// - -//`include "timescale.v" -// -`include "defs.vh" - -//`define VENDOR_FPGA -//`define VENDOR_XILINX -//`define VENDOR_ALTERA - -module generic_dpram( - // Generic synchronous dual-port RAM interface - rclk, rrst, rce, oe, raddr, do, - wclk, wrst, wce, we, waddr, di -); - - // - // Default address and data buses width - // - parameter aw = 5; // number of bits in address-bus - parameter dw = 16; // number of bits in data-bus - - // - // Generic synchronous double-port RAM interface - // - // read port - input rclk; // read clock, rising edge trigger - input rrst; // read port reset, active high - input rce; // read port chip enable, active high - input oe; // output enable, active high - input [aw-1:0] raddr; // read address - output [dw-1:0] do; // data output - - // write port - input wclk; // write clock, rising edge trigger - input wrst; // write port reset, active high - input wce; // write port chip enable, active high - input we; // write enable, active high - input [aw-1:0] waddr; // write address - input [dw-1:0] di; // data input - - // - // Module body - // - -`ifdef VENDOR_FPGA - // - // Instantiation synthesizeable FPGA memory - // - // This code has been tested using LeonardoSpectrum and Synplicity. - // The code correctly instantiates Altera EABs and Xilinx BlockRAMs. - // - reg [dw-1:0] mem [(1<. - * - * *****************************************************************/ -module fifo( - clk, - reset, - empty_o, - full_o, - one_available_o, - two_available_o, - we_i, - data_i, - re_i, - data_o); - -parameter DWIDTH = 8; -parameter AWIDTH = 4; - -input clk; -input reset; -output empty_o; -output full_o; -output one_available_o; -output two_available_o; -input we_i; -input [DWIDTH-1:0] data_i; -input re_i; -output [DWIDTH-1:0] data_o; - - - - -// -// local reg/wires -// -reg [AWIDTH-1:0] read_ptr; -reg [AWIDTH-1:0] write_ptr; -reg [AWIDTH:0] fill_ctr; - -wire dp_we_i; -wire dp_re_i; - -// -// instantiate the dual port ram -// -generic_dpram #(.aw(AWIDTH), - .dw(DWIDTH) - ) - dpram ( .rclk(clk), - .rrst(reset), - .rce(ce), - .oe(dp_re_i), - .raddr(read_ptr), - .do(data_o), - - .wclk(clk), - .wrst(reset), - .wce(ce), - .we(dp_we_i), - .waddr(write_ptr), - .di(data_i)); - - -// -// control logic -// -assign ce = 1'b1; - -assign one_available_o = (fill_ctr > 1'b0) ? 1'b1 : 1'b0; -assign two_available_o = (fill_ctr > 1'b1) ? 1'b1 : 1'b0; -assign empty_o = |fill_ctr ? 1'b0 : 1'b1; -assign full_o = fill_ctr[AWIDTH] ? 1'b1 : 1'b0; - -// make sure a write only happens to dp_ram when not full -assign dp_we_i = ~full_o ? we_i : 1'b0; -// make sure a read only happens to the dp_ram when not empty -assign dp_re_i = ~empty_o ? re_i : 1'b0; - -// -// fill counter -// -always @(posedge clk or posedge reset) begin - if(reset) begin - fill_ctr <= 0; - end - else begin - - if(dp_we_i & ~ dp_re_i) begin - fill_ctr <= fill_ctr + 1; - end - else if(dp_re_i & ~ dp_we_i) begin - fill_ctr <= fill_ctr - 1; - end - end -end - -// -// read pointer -// -always @(posedge clk or posedge reset) begin - if(reset) begin - read_ptr <= 0; - end - else begin - if(dp_re_i) begin - read_ptr <= read_ptr + 1; - end - end -end - -// -// write pointer -// -always @(posedge clk or posedge reset) begin - if(reset) begin - write_ptr <= 0; - end - else begin - if(dp_we_i) begin - write_ptr <= write_ptr + 1; - end - end -end - - -endmodule Index: trunk/const_encoder/rtl/defs.vh =================================================================== --- trunk/const_encoder/rtl/defs.vh (revision 22) +++ trunk/const_encoder/rtl/defs.vh (nonexistent) @@ -1,6 +0,0 @@ - - -`define VENDOR_FPGA - - - Index: trunk/const_encoder/rtl/parameters.vh =================================================================== --- trunk/const_encoder/rtl/parameters.vh (revision 22) +++ trunk/const_encoder/rtl/parameters.vh (nonexistent) @@ -1,25 +0,0 @@ - -// -// parameter -// -parameter DW = 8; -parameter AW = 4; -parameter CONFAW = 10; -parameter CONFDW = 8; -parameter CNUMW = 4; // Width of the carrier number output -parameter CONSTW = 9; - -parameter SHIFTW = 23; // Width of the shift registers -parameter MAXBITNUM = 15; // Maximum bit number per carrier - -parameter USED_C_REG_W = 8; // Used carrier register width -parameter F_BITS_W = 8; -parameter REG_MEM_LEN = 256; - -// -// register addresses -// -parameter BIT_LOAD_ST_ADR = 12'h000; -parameter C_NUM_ST_ADR = 12'h100; -parameter USED_C_ADR = 12'h200; -parameter F_BITS_ADR = 12'h201; Index: trunk/const_encoder/rtl/const_enc.v =================================================================== --- trunk/const_encoder/rtl/const_enc.v (revision 22) +++ trunk/const_encoder/rtl/const_enc.v (nonexistent) @@ -1,302 +0,0 @@ -/* ***************************************************************** - * - * This file is part of the - * - * Tone Order and Constellation Encoder Core. - * - * Description: - * - * The conste_enc module implements the tone ordering and - * constellation encoding as described in ITU G.992.1 - * - ********************************************************************* - * Copyright (C) 2007 Guenter Dannoritzer - * - * This source is free software; you can redistribute it - * and/or modify it under the terms of the - * GNU General Public License - * as published by the Free Software Foundation; - * either version 3 of the License, - * or (at your option) any later version. - * - * This source is distributed in the hope - * that it will be useful, but WITHOUT ANY WARRANTY; - * without even the implied warranty of MERCHANTABILITY - * or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * You should have received a copy of the - * GNU General Public License along with this source. - * If not, see . - * - * *****************************************************************/ - -module const_encoder( - clk, - reset, - fast_ready_o, - we_fast_data_i, - fast_data_i, - inter_ready_o, - we_inter_data_i, - inter_data_i, - addr_i, - we_conf_i, - conf_data_i, - xy_ready_o, - carrier_num_o, - x_o, - y_o); - - -`include "parameters.vh" - -// -// parameter -// - -input clk; -input reset; -output fast_ready_o; -input we_fast_data_i; -input [DW-1:0] fast_data_i; -output inter_ready_o; -input we_inter_data_i; -input [DW-1:0] inter_data_i; -input [CONFAW-1:0] addr_i; -input we_conf_i; -input [CONFDW-1:0] conf_data_i; -output xy_ready_o; -output [CNUMW-1:0] carrier_num_o; -output [CONSTW-1:0] x_o; reg signed [CONSTW-1:0] x_o; -output [CONSTW-1:0] y_o; reg signed [CONSTW-1:0] y_o; - - - -// -// local wire/regs -// -wire [DW-1:0] fast_data_o; -wire [DW-1:0] inter_data_o; - -reg [SHIFTW-1:0] fast_shift_reg; -reg [SHIFTW-1:0] inter_shift_reg; -reg [MAXBITNUM-1:0] cin; -reg [CONFDW-1:0] bit_load; - -reg [4:0] msb; -reg [1:0] msb_x; -reg [1:0] msb_y; - -reg [CONFDW-1:0] BitLoading [0:REG_MEM_LEN-1]; -reg [CONFDW-1:0] CarrierNumber [0:REG_MEM_LEN-1]; -reg [USED_C_REG_W-1:0] UsedCarrier; -reg [F_BITS_W-1:0] FastBits; - -// -// intantiate the fast path and interleaved path FIFOs -// -fifo #(.AWIDTH(AW), .DWIDTH(DW)) - fifo_fast ( .clk(clk), - .reset(reset), - .empty_o(fast_empty_o), - .full_o(fast_full_o), - .one_available_o(fast_one_available_o), - .two_available_o(fast_two_available_o), - .we_i(we_fast_i), - .data_i(fast_data_i), - .re_i(re_fast_i), - .data_o(fast_data_o) - ); - -fifo #(.AWIDTH(AW), .DWIDTH(DW)) - fifo_inter ( .clk(clk), - .reset(reset), - .empty_o(inter_empty_o), - .full_o(inter_full_o), - .one_available_o(inter_one_available_o), - .two_available_o(inter_two_available_o), - .we_i(we_inter_i), - .data_i(inter_data_i), - .re_i(re_inter_i), - .data_o(inter_data_o) - ); - - -// -// configuration register access -// -always @(posedge clk or posedge reset) begin - - if(reset) begin - UsedCarrier <= 0; - FastBits <= 0; - - end - else begin - if(we_conf_i) begin - if(addr_i >= 0 && addr_i < C_NUM_ST_ADR) begin - BitLoading[addr_i] <= conf_data_i; - end - else if(addr_i >= C_NUM_ST_ADR && addr_i < USED_C_ADR) begin - CarrierNumber[addr_i - C_NUM_ST_ADR] <= conf_data_i; - end - else if(addr_i == USED_C_ADR) begin - UsedCarrier <= conf_data_i; - end - else if(addr_i == F_BITS_ADR) begin - FastBits <= conf_data_i; - end - end - end - -end - - -// -// constellation mapping -// -always @(posedge reset or posedge clk) begin - - if(reset) begin - x_o <= 9'b0; - y_o <= 9'b0; - end - else begin - case (bit_load) - 4'b0010: begin // #2 - x_o <= {cin[1], cin[1], cin[1], cin[1], cin[1], cin[1], cin[1], cin[1], 1'b1}; - y_o <= {cin[0], cin[0], cin[0], cin[0], cin[0], cin[0], cin[0], cin[0], 1'b1}; - end - - 4'b0011: begin // #3 - case (cin[2:0]) - 3'b000: begin x_o <= 9'b000000001; y_o <= 9'b000000001; end - 3'b001: begin x_o <= 9'b000000001; y_o <= 9'b111111111; end - 3'b010: begin x_o <= 9'b111111111; y_o <= 9'b000000001; end - 3'b011: begin x_o <= 9'b111111111; y_o <= 9'b111111111; end - - 3'b100: begin x_o <= 9'b111111101; y_o <= 9'b000000001; end - 3'b101: begin x_o <= 9'b000000001; y_o <= 9'b000000011; end - 3'b110: begin x_o <= 9'b111111111; y_o <= 9'b111111101; end - 3'b111: begin x_o <= 9'b000000011; y_o <= 9'b111111111; end - endcase - end - - 4'b0100: begin // #4 - x_o <= {cin[3], cin[3], cin[3], cin[3], cin[3], cin[3], cin[3], cin[1], 1'b1}; - y_o <= {cin[2], cin[2], cin[2], cin[2], cin[2], cin[2], cin[2], cin[0], 1'b1}; - end - - 4'b0101: begin // #5 - map_msb(cin[4:0], msb_x, msb_y); - x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[1], 1'b1}; - y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[0], 1'b1}; - end - - 4'b0110: begin // #6 - x_o <= {cin[4], cin[4], cin[4], cin[4], cin[4], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {cin[5], cin[5], cin[5], cin[5], cin[5], cin[5], cin[3], cin[1], 1'b1}; - end - - 4'b0111: begin // #7 - map_msb(cin[6:2], msb_x, msb_y); - x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[2], cin[0], 1'b1}; - y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[3], cin[1], 1'b1}; - end - 4'b1000: begin // #8 - x_o <= {cin[6], cin[6], cin[6], cin[6], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {cin[7], cin[7], cin[7], cin[7], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - - 4'b1001: begin // #9 - map_msb(cin[7:3], msb_x, msb_y); - x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[5], cin[3], cin[1], 1'b1}; - end - 4'b1010: begin // #10 - x_o <= {cin[8], cin[8], cin[8], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {cin[9], cin[9], cin[9], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - - 4'b1011: begin // #11 - map_msb(cin[8:4], msb_x, msb_y); - x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - 4'b1100: begin // #12 - x_o <= {cin[10], cin[10], cin[10], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {cin[11], cin[11], cin[11], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - - 4'b1101: begin // #13 - map_msb(cin[9:5], msb_x, msb_y); - x_o <= {msb_x[1], msb_x[1], msb_x[0], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {msb_y[1], msb_y[1], msb_y[0], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - 4'b1110: begin // #14 - x_o <= {cin[12], cin[12], cin[10], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {cin[13], cin[13], cin[11], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - - 4'b1111: begin // #15 TODO - map_msb(cin[10:6], msb_x, msb_y); - x_o <= {msb_x[1], msb_x[0], cin[10], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; - y_o <= {msb_y[1], msb_y[0], cin[11], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; - end - - endcase - end -end - -// -// determine the top two bits of X and Y based on table 7-12 in G.992.1 -// -task map_msb(input [4:0] t_msb, output [1:0] t_msb_x, output [1:0] t_msb_y ); - begin - case (t_msb) - 5'b00000: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end - 5'b00001: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end - 5'b00010: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end - 5'b00011: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end - - 5'b00100: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end - 5'b00101: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end - 5'b00110: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end - 5'b00111: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end - - 5'b01000: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end - 5'b01001: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end - 5'b01010: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end - 5'b01011: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end - - 5'b01100: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end - 5'b01101: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end - 5'b01110: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end - 5'b01111: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end - - 5'b10000: begin t_msb_x <= 2'b01; t_msb_y <= 2'b00; end - 5'b10001: begin t_msb_x <= 2'b01; t_msb_y <= 2'b00; end - 5'b10010: begin t_msb_x <= 2'b10; t_msb_y <= 2'b00; end - 5'b10011: begin t_msb_x <= 2'b10; t_msb_y <= 2'b00; end - - 5'b10100: begin t_msb_x <= 2'b00; t_msb_y <= 2'b01; end - 5'b10101: begin t_msb_x <= 2'b00; t_msb_y <= 2'b10; end - 5'b10110: begin t_msb_x <= 2'b00; t_msb_y <= 2'b01; end - 5'b10111: begin t_msb_x <= 2'b00; t_msb_y <= 2'b10; end - - 5'b11000: begin t_msb_x <= 2'b11; t_msb_y <= 2'b01; end - 5'b11001: begin t_msb_x <= 2'b11; t_msb_y <= 2'b10; end - 5'b11010: begin t_msb_x <= 2'b11; t_msb_y <= 2'b01; end - 5'b11011: begin t_msb_x <= 2'b11; t_msb_y <= 2'b10; end - - 5'b11100: begin t_msb_x <= 2'b01; t_msb_y <= 2'b11; end - 5'b11101: begin t_msb_x <= 2'b01; t_msb_y <= 2'b11; end - 5'b11110: begin t_msb_x <= 2'b10; t_msb_y <= 2'b11; end - 5'b11111: begin t_msb_x <= 2'b10; t_msb_y <= 2'b11; end - - endcase -end -endtask - -endmodule Index: trunk/const_encoder/doc/ConstSpec.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/const_encoder/doc/ConstSpec.pdf =================================================================== --- trunk/const_encoder/doc/ConstSpec.pdf (revision 22) +++ trunk/const_encoder/doc/ConstSpec.pdf (nonexistent)
trunk/const_encoder/doc/ConstSpec.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/const_encoder/tb/tb_fifo.v =================================================================== --- trunk/const_encoder/tb/tb_fifo.v (revision 22) +++ trunk/const_encoder/tb/tb_fifo.v (nonexistent) @@ -1,336 +0,0 @@ -/* ***************************************************************** - * - * This file is part of the - * - * Tone Order and Constellation Encoder Core. - * - * Copyright (C) 2007 Guenter Dannoritzer - * - * This source is free software; you can redistribute it - * and/or modify it under the terms of the - * GNU General Public License - * as published by the Free Software Foundation; - * either version 3 of the License, - * or (at your option) any later version. - * - * This source is distributed in the hope - * that it will be useful, but WITHOUT ANY WARRANTY; - * without even the implied warranty of MERCHANTABILITY - * or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * You should have received a copy of the - * GNU General Public License along with this source. - * If not, see . - * - * *****************************************************************/ -module tb_fifo; - -parameter AWIDTH = 2; -parameter DWIDTH = 8; -parameter TW=10; - - - -// -// to interface the dut -// -reg clk; -reg reset; -reg [DWIDTH-1:0] data_i; -reg re_i; -wire empty_o; -wire full_o; -wire one_available_o; -wire two_available_o; -reg we_i; -reg [DWIDTH-1:0] data_i; -reg re_i; -wire [DWIDTH-1:0] data_o; - - - -// -// instantiate the DUT -// -fifo #(.AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) - - dut ( .clk(clk), - .reset(reset), - .empty_o(empty_o), - .full_o(full_o), - .one_available_o(one_available_o), - .two_available_o(two_available_o), - .we_i(we_i), - .data_i(data_i), - .re_i(re_i), - .data_o(data_o)); - - -// -// local reg/wires -// -reg [DWIDTH-1:0] got_data; - -// -// main tests -// - -initial begin - clk = 0; - we_i = 0; - re_i = 0; - reset = 0; -end - -always begin - #TW clk = ~clk; -end - -// -// dump signals -// -initial begin - $dumpfile("tb_fifo.vcd"); - $dumpvars; -end - - -initial begin - $display("=== Verifing FIFO ==="); - - $display("- reset test"); - test_reset; - check_control(5'b0001); - - $display("- verify write followed by read"); - write_data(8'haa); - check_control(5'b0100); - - read_data(got_data); - check_result(got_data, 8'haa); - check_control(5'b0001); - - // fifo is empty again - - // fill it and only expect after the 4th write a full signal - - $display("- fill FIFO up"); - // #1 - write_data(8'h70); - check_control(5'b0100); - // #2 - write_data(8'h71); - check_control(5'b1100); - // #3 - write_data(8'h72); - check_control(5'b1100); - // #4 - write_data(8'h73); - check_control(5'b1110); - - $display("- FIFO is full, another write should not have an affect"); - write_data(8'hab); - check_control(5'b1110); - - $display("- verify reading the data from the full FIFO back"); - // #1 - read_data(got_data); - check_result(got_data, 8'h70); - check_control(5'b1100); - // #2 - read_data(got_data); - check_result(got_data, 8'h71); - check_control(5'b1100); - // #3 - read_data(got_data); - check_result(got_data, 8'h72); - check_control(5'b0100); - // #4 - read_data(got_data); - check_result(got_data, 8'h73); - check_control(5'b0001); - - - $display("= Now test a read/write at the same clock ="); - - - $display("- First have an empty FIFO and do the read/write"); - // read should fail but write should succeed - fork - read_data(got_data); - write_data(8'h80); - join - check_control(5'b0100); - read_data(got_data); - check_result(got_data, 8'h80); - - - // - $display("- Now have one entry in the FIFO and do a read/write"); - // read should bring the first value back and the written value - // should stay - write_data(8'h90); - fork - read_data(got_data); - write_data(8'hA0); - join - check_control(5'b0100); - check_result(got_data, 8'h90); - - read_data(got_data); - check_result(got_data, 8'hA0); - check_control(5'b0001); - - - - $display("- Finally fill up the FIFO and to the read/write"); - // #1 - write_data(8'h10); - check_control(5'b0100); - // #2 - write_data(8'h11); - check_control(5'b1100); - // #3 - write_data(8'h12); - check_control(5'b1100); - // #4 - write_data(8'h13); - check_control(5'b1110); - - // doing the read/write, as the FIFO is full the written value should - // not end up in the FIFO - fork - read_data(got_data); - write_data(8'h20); - join - - check_control(5'b1100); - check_result(got_data, 8'h10); - - // doing a read/write with one empty spot, the read should return the - // last but one value and the write should end up in the FIFO - fork - read_data(got_data); - write_data(8'h21); - join - - check_control(5'b1100); - check_result(got_data, 8'h11); - - - // so reading back the values, should return the 3 remaining values - // #1 - read_data(got_data); - check_result(got_data, 8'h12); - check_control(5'b1100); - // #2 - read_data(got_data); - check_result(got_data, 8'h13); - check_control(5'b0100); - // #3 - read_data(got_data); - check_result(got_data, 8'h21); - check_control(5'b0001); - - $display("FIFO verification done!"); - - $finish(); - -end - - - - -// //////////////////////////////////////////////////////////////////// -// -// bus functional models -// -// //////////////////////////////////////////////////////////////////// - -task test_reset; - begin - //$display("Testing reset"); - reset = 0; - #10 reset = 1; - #20 reset = 0; - -end -endtask - - -// ===================================================================== -// check the expected control line status -// -// exp_ctrl[4:0] == {two_available, one_available, full, empty} -// -task check_control(input [4:0]exp_ctrl); - begin - - //$display("# %d expCtrl: %d", $time, exp_ctrl); - - if(empty_o !== exp_ctrl[0]) - $display("ERROR! => Expected empty_o == %d, got %d", exp_ctrl[0], empty_o); - - if(full_o !== exp_ctrl[1]) - $display("ERROR! => Expected full_o == %d, got %d", exp_ctrl[1], full_o); - - if(one_available_o !== exp_ctrl[2]) - $display("ERROR! => Expected one_available_o == %d, got %d", exp_ctrl[3], one_available_o); - - if(two_available_o !== exp_ctrl[3]) - $display("ERROR! => Expected two_available_o == %d, got %d", exp_ctrl[4], two_available_o); - - end -endtask - - -// ===================================================================== -// -// write data to the fifo -// -task write_data(input [DWIDTH-1:0]data); - begin - //$display("# %d Writing data", $time); - @(negedge clk); - data_i = data; - we_i = 1; - @(negedge clk); - we_i = 0; - - end -endtask - -// ===================================================================== -// -// read data from the fifo -// -// -task read_data(output [DWIDTH-1:0]data); - begin - - //$display("# %d Reading data", $time); - @(negedge clk); - re_i = 1; - @(negedge clk); - data = data_o; - re_i = 0; - - end -endtask - - -// ===================================================================== -// -// check result -// -// -task check_result(input [DWIDTH-1:0]got, input [DWIDTH-1:0]expected); - begin - if(got !== expected) - $display("ERROR! => Result does not match! Got: %d (%x) expected: %d (%x)", got, got, expected, expected); - end -endtask - -endmodule - Index: trunk/const_encoder/tb/const_map_data.v =================================================================== --- trunk/const_encoder/tb/const_map_data.v (revision 22) +++ trunk/const_encoder/tb/const_map_data.v (nonexistent) @@ -1,133 +0,0 @@ - -// /////////////////////////////////////////////////////////////////// -// -// 2 -bit constellation map -// -// /////////////////////////////////////////////////////////////////// -module const_map_2bit; - -`include "parameters.vh" - -reg signed [CONSTW-1:0] re [0:3]; -reg signed [CONSTW-1:0] im [0:3]; - - -initial begin : init_2bit - re[0] = 1; im[0] = 1; - re[1] = 1; im[1] = -1; - re[2] = -1; im[2] = 1; - re[3] = -1; im[3] = -1; -end - -endmodule - - -// /////////////////////////////////////////////////////////////////// -// -// 3 -bit constellation map -// -// /////////////////////////////////////////////////////////////////// -module const_map_3bit; - -`include "parameters.vh" - -reg signed [CONSTW-1:0] re [0:7]; -reg signed [CONSTW-1:0] im [0:7]; - - -initial begin - re[0] = 1; im[0] = 1; - re[1] = 1; im[1] = -1; - re[2] = -1; im[2] = 1; - re[3] = -1; im[3] = -1; - re[4] = -3; im[4] = 1; - re[5] = 1; im[5] = 3; - re[6] = -1; im[6] = -3; - re[7] = 3; im[7] = -1; -end - -endmodule - -// /////////////////////////////////////////////////////////////////// -// -// 4 -bit constellation map -// -// /////////////////////////////////////////////////////////////////// -module const_map_4bit; - -`include "parameters.vh" - -reg signed [CONSTW-1:0] re [0:15]; -reg signed [CONSTW-1:0] im [0:15]; - - -initial begin - re[0] = 1; im[0] = 1; - re[1] = 1; im[1] = 3; - re[2] = 3; im[2] = 1; - re[3] = 3; im[3] = 3; - re[4] = 1; im[4] = -3; - re[5] = 1; im[5] = -1; - re[6] = 3; im[6] = -3; - re[7] = 3; im[7] = -1; - re[8] = -3; im[8] = 1; - re[9] = -3; im[9] = 3; - re[10] = -1; im[10] = 1; - re[11] = -1; im[11] = 3; - re[12] = -3; im[12] = -3; - re[13] = -3; im[13] = -1; - re[14] = -1; im[14] = -3; - re[15] = -1; im[15] = -1; -end - -endmodule - -// /////////////////////////////////////////////////////////////////// -// -// 5 -bit constellation map -// -// /////////////////////////////////////////////////////////////////// -module const_map_5bit; - -`include "parameters.vh" - -reg signed [CONSTW-1:0] re [0:31]; -reg signed [CONSTW-1:0] im [0:31]; - - -initial begin - re[0] = 1; im[0] = 1; - re[1] = 1; im[1] = 3; - re[2] = 3; im[2] = 1; - re[3] = 3; im[3] = 3; - re[4] = 1; im[4] = -3; - re[5] = 1; im[5] = -1; - re[6] = 3; im[6] = -3; - re[7] = 3; im[7] = -1; - re[8] = -3; im[8] = 1; - re[9] = -3; im[9] = 3; - re[10] = -1; im[10] = 1; - re[11] = -1; im[11] = 3; - re[12] = -3; im[12] = -3; - re[13] = -3; im[13] = -1; - re[14] = -1; im[14] = -3; - re[15] = -1; im[15] = -1; - re[16] = 5; im[16] = 1; - re[17] = 5; im[17] = 3; - re[18] = -5; im[18] = 1; - re[19] = -5; im[19] = 3; - re[20] = 1; im[20] = 5; - re[21] = 1; im[21] = -5; - re[22] = 3; im[22] = 5; - re[23] = 3; im[23] = -5; - re[24] = -3; im[24] = 5; - re[25] = -3; im[25] = -5; - re[26] = -1; im[26] = 5; - re[27] = -1; im[27] = -5; - re[28] = 5; im[28] = -3; - re[29] = 5; im[29] = -1; - re[30] = -5; im[30] = -3; - re[31] = -5; im[31] = -1; -end - -endmodule Index: trunk/const_encoder/tb/tb_const_enc.v =================================================================== --- trunk/const_encoder/tb/tb_const_enc.v (revision 22) +++ trunk/const_encoder/tb/tb_const_enc.v (nonexistent) @@ -1,306 +0,0 @@ -/* ***************************************************************** - * - * This file is part of the - * - * Tone Order and Constellation Encoder Core. - * - * Copyright (C) 2007 Guenter Dannoritzer - * - * This source is free software; you can redistribute it - * and/or modify it under the terms of the - * GNU General Public License - * as published by the Free Software Foundation; - * either version 3 of the License, - * or (at your option) any later version. - * - * This source is distributed in the hope - * that it will be useful, but WITHOUT ANY WARRANTY; - * without even the implied warranty of MERCHANTABILITY - * or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * You should have received a copy of the - * GNU General Public License along with this source. - * If not, see . - * - * *****************************************************************/ - -module tb_const_encoder(); - -parameter TW = 10; - -`include "parameters.vh" - - -reg clk; -reg reset; -wire fast_ready_o; -reg we_fast_data_i; -reg [DW-1:0] fast_data_i; -wire inter_ready_o; -reg we_inter_data_i; -reg [DW-1:0] inter_data_i; - -reg we_conf_i; -reg [CONFAW-1:0] addr_i; -reg [CONFDW-1:0] conf_data_i; -wire [CNUMW-1:0] carrier_num_o; -wire signed [CONSTW-1:0] x_o; -wire signed [CONSTW-1:0] y_o; - -// -// instantiate the DUT -// -const_encoder dut ( .clk(clk), - .reset(reset), - .fast_ready_o(fast_ready_o), - .we_fast_data_i(we_fast_data_i), - .fast_data_i(fast_data_i), - .inter_ready_o(inter_ready_o), - .we_inter_data_i(we_inter_data_i), - .inter_data_i(inter_data_i), - .addr_i(addr_i), - .we_conf_i(we_conf_i), - .conf_data_i(conf_data_i), - .xy_ready_o(xy_ready_o), - .carrier_num_o(carrier_num_o), - .x_o(x_o), - .y_o(y_o)); - -// -// instantiate test data modules -// -const_map_2bit cm_2bit(); -const_map_3bit cm_3bit(); -const_map_4bit cm_4bit(); -const_map_5bit cm_5bit(); - - -initial begin - clk = 0; - we_fast_data_i = 0; - we_inter_data_i = 0; - we_conf_i = 0; - reset = 0; -end - -always begin - #TW clk = ~clk; -end - -// -// dump signals -// -initial begin - $dumpfile("tb_const_enc.vcd"); - $dumpvars; -end - - -// -// main test -// - -initial begin - - $monitor($time, " reset: ", reset); - - apply_reset; - - // - // write configuration - // - write_config(BIT_LOAD_ST_ADR, 2); - write_config(BIT_LOAD_ST_ADR+1, 3); - write_config(BIT_LOAD_ST_ADR+2, 4); - write_config(BIT_LOAD_ST_ADR+3, 5); - - write_config(C_NUM_ST_ADR, 48); - write_config(C_NUM_ST_ADR+1, 49); - write_config(C_NUM_ST_ADR+2, 50); - write_config(C_NUM_ST_ADR+3, 51); - - - write_config(USED_C_ADR, 4); - write_config(F_BITS_ADR, 7); - - // - // check written configuration - // - check_config(BIT_LOAD_ST_ADR, 2); - check_config(BIT_LOAD_ST_ADR+1, 3); - check_config(BIT_LOAD_ST_ADR+2, 4); - check_config(BIT_LOAD_ST_ADR+3, 5); - - check_config(C_NUM_ST_ADR, 48); - check_config(C_NUM_ST_ADR+1, 49); - check_config(C_NUM_ST_ADR+2, 50); - check_config(C_NUM_ST_ADR+3, 51); - - check_config(USED_C_ADR, 4); - check_config(F_BITS_ADR, 7); - - // - // checking the constellation map - // - check_const_map(2); - check_const_map(3); - check_const_map(4); - check_const_map(5); - - #1000 $finish(); - -end // main test - - -// //////////////////////////////////////////////////////////////////// -// -// bus functional models -// -// //////////////////////////////////////////////////////////////////// - -task apply_reset; - begin - reset = 0; - #20 - reset = 1; - @(posedge clk); - reset = 0; - end -endtask - -// -// write data to the configuration registers -// -task write_config(input [CONFAW-1:0] addr, input[CONFDW-1:0] data); - begin - - addr_i = addr; - conf_data_i = data; - @(negedge clk); - we_conf_i = 1; - @(negedge clk); - we_conf_i = 0; - - end -endtask - -// -// check the written configuration -// -task check_config(input [CONFAW-1:0] addr, input [CONFDW-1:0] exp_data); - begin - - if(addr >= 0 && addr < C_NUM_ST_ADR) begin - - if(dut.BitLoading[addr] !== exp_data) begin - $display("ERROR! => BitLoading does not match @ %x!", addr); - $display(" Got: %d expected: %d", - dut.BitLoading[addr], exp_data); - end - - end - else if(addr >= C_NUM_ST_ADR && addr < USED_C_ADR) begin - - if(dut.CarrierNumber[addr-C_NUM_ST_ADR] !== exp_data) begin - $display("ERROR! => CarrierNumber does not match @ %x!", addr); - $display(" Got: %d expected: %d", - dut.CarrierNumber[addr-C_NUM_ST_ADR], exp_data); - end - - end - else if(addr == USED_C_ADR) begin - - if(dut.UsedCarrier !== exp_data) begin - $display("ERROR! => UsedCarrier does not match @ %x!", addr); - $display(" Got: %d expected: %d", - dut.UsedCarrier, exp_data); - end - - end - else if(addr == F_BITS_ADR) begin - - if(dut.FastBits !== exp_data) begin - $display("ERROR! => FastBits does not match @ %x!", addr); - $display(" Got: %d expected: %d", - dut.FastBits, exp_data); - end - - end - end -endtask - - -// -// check constellation map -// -// This task feeds in data direct to the constellation encoder module -// and checks the expected outcome. -// -// Given parameter is the bit size of the constellation map. -// -task check_const_map(input [3:0] bit); - integer len; - integer i; - begin - len = 1 << bit; - for(i=0; i x_o expected: %d got: %d", i, cm_2bit.re[i], x_o); - end - if(cm_2bit.im[i] !== y_o) begin - $display("Input: %d --> y_o expected: %d got: %d", i, cm_2bit.im[i], y_o); - end - end - - 3: begin - if(cm_3bit.re[i] !== x_o) begin - $display("Input: %d --> x_o expected: %d got: %d", i, cm_3bit.re[i], x_o); - end - if(cm_3bit.im[i] !== y_o) begin - $display("Input: %d --> y_o expected: %d got: %d", i, cm_3bit.im[i], y_o); - end - end - - 4: begin - if(cm_4bit.re[i] !== x_o) begin - $display("Input: %d --> x_o expected: %d got: %d", i, cm_4bit.re[i], x_o); - end - if(cm_4bit.im[i] !== y_o) begin - $display("Input: %d --> y_o expected: %d got: %d", i, cm_4bit.im[i], y_o); - end - end - - 5: begin - if(cm_5bit.re[i] !== x_o) begin - $display($time, " Input: %d --> x_o expected: %d got: %d", i, cm_5bit.re[i], x_o); - end - if(cm_5bit.im[i] !== y_o) begin - $display($time, " Input: %d --> y_o expected: %d got: %d", i, cm_5bit.im[i], y_o); - end - end - - - default: $display("%d is not an implemented bit size", bit); - endcase - - end - - end -endtask - - -endmodule Index: dmt_tx/trunk/const_encoder/rtl/const_enc.v =================================================================== --- dmt_tx/trunk/const_encoder/rtl/const_enc.v (nonexistent) +++ dmt_tx/trunk/const_encoder/rtl/const_enc.v (revision 23) @@ -0,0 +1,302 @@ +/* ***************************************************************** + * + * This file is part of the + * + * Tone Order and Constellation Encoder Core. + * + * Description: + * + * The conste_enc module implements the tone ordering and + * constellation encoding as described in ITU G.992.1 + * + ********************************************************************* + * Copyright (C) 2007 Guenter Dannoritzer + * + * This source is free software; you can redistribute it + * and/or modify it under the terms of the + * GNU General Public License + * as published by the Free Software Foundation; + * either version 3 of the License, + * or (at your option) any later version. + * + * This source is distributed in the hope + * that it will be useful, but WITHOUT ANY WARRANTY; + * without even the implied warranty of MERCHANTABILITY + * or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the + * GNU General Public License along with this source. + * If not, see . + * + * *****************************************************************/ + +module const_encoder( + clk, + reset, + fast_ready_o, + we_fast_data_i, + fast_data_i, + inter_ready_o, + we_inter_data_i, + inter_data_i, + addr_i, + we_conf_i, + conf_data_i, + xy_ready_o, + carrier_num_o, + x_o, + y_o); + + +`include "parameters.vh" + +// +// parameter +// + +input clk; +input reset; +output fast_ready_o; +input we_fast_data_i; +input [DW-1:0] fast_data_i; +output inter_ready_o; +input we_inter_data_i; +input [DW-1:0] inter_data_i; +input [CONFAW-1:0] addr_i; +input we_conf_i; +input [CONFDW-1:0] conf_data_i; +output xy_ready_o; +output [CNUMW-1:0] carrier_num_o; +output [CONSTW-1:0] x_o; reg signed [CONSTW-1:0] x_o; +output [CONSTW-1:0] y_o; reg signed [CONSTW-1:0] y_o; + + + +// +// local wire/regs +// +wire [DW-1:0] fast_data_o; +wire [DW-1:0] inter_data_o; + +reg [SHIFTW-1:0] fast_shift_reg; +reg [SHIFTW-1:0] inter_shift_reg; +reg [MAXBITNUM-1:0] cin; +reg [CONFDW-1:0] bit_load; + +reg [4:0] msb; +reg [1:0] msb_x; +reg [1:0] msb_y; + +reg [CONFDW-1:0] BitLoading [0:REG_MEM_LEN-1]; +reg [CONFDW-1:0] CarrierNumber [0:REG_MEM_LEN-1]; +reg [USED_C_REG_W-1:0] UsedCarrier; +reg [F_BITS_W-1:0] FastBits; + +// +// intantiate the fast path and interleaved path FIFOs +// +fifo #(.AWIDTH(AW), .DWIDTH(DW)) + fifo_fast ( .clk(clk), + .reset(reset), + .empty_o(fast_empty_o), + .full_o(fast_full_o), + .one_available_o(fast_one_available_o), + .two_available_o(fast_two_available_o), + .we_i(we_fast_i), + .data_i(fast_data_i), + .re_i(re_fast_i), + .data_o(fast_data_o) + ); + +fifo #(.AWIDTH(AW), .DWIDTH(DW)) + fifo_inter ( .clk(clk), + .reset(reset), + .empty_o(inter_empty_o), + .full_o(inter_full_o), + .one_available_o(inter_one_available_o), + .two_available_o(inter_two_available_o), + .we_i(we_inter_i), + .data_i(inter_data_i), + .re_i(re_inter_i), + .data_o(inter_data_o) + ); + + +// +// configuration register access +// +always @(posedge clk or posedge reset) begin + + if(reset) begin + UsedCarrier <= 0; + FastBits <= 0; + + end + else begin + if(we_conf_i) begin + if(addr_i >= 0 && addr_i < C_NUM_ST_ADR) begin + BitLoading[addr_i] <= conf_data_i; + end + else if(addr_i >= C_NUM_ST_ADR && addr_i < USED_C_ADR) begin + CarrierNumber[addr_i - C_NUM_ST_ADR] <= conf_data_i; + end + else if(addr_i == USED_C_ADR) begin + UsedCarrier <= conf_data_i; + end + else if(addr_i == F_BITS_ADR) begin + FastBits <= conf_data_i; + end + end + end + +end + + +// +// constellation mapping +// +always @(posedge reset or posedge clk) begin + + if(reset) begin + x_o <= 9'b0; + y_o <= 9'b0; + end + else begin + case (bit_load) + 4'b0010: begin // #2 + x_o <= {cin[1], cin[1], cin[1], cin[1], cin[1], cin[1], cin[1], cin[1], 1'b1}; + y_o <= {cin[0], cin[0], cin[0], cin[0], cin[0], cin[0], cin[0], cin[0], 1'b1}; + end + + 4'b0011: begin // #3 + case (cin[2:0]) + 3'b000: begin x_o <= 9'b000000001; y_o <= 9'b000000001; end + 3'b001: begin x_o <= 9'b000000001; y_o <= 9'b111111111; end + 3'b010: begin x_o <= 9'b111111111; y_o <= 9'b000000001; end + 3'b011: begin x_o <= 9'b111111111; y_o <= 9'b111111111; end + + 3'b100: begin x_o <= 9'b111111101; y_o <= 9'b000000001; end + 3'b101: begin x_o <= 9'b000000001; y_o <= 9'b000000011; end + 3'b110: begin x_o <= 9'b111111111; y_o <= 9'b111111101; end + 3'b111: begin x_o <= 9'b000000011; y_o <= 9'b111111111; end + endcase + end + + 4'b0100: begin // #4 + x_o <= {cin[3], cin[3], cin[3], cin[3], cin[3], cin[3], cin[3], cin[1], 1'b1}; + y_o <= {cin[2], cin[2], cin[2], cin[2], cin[2], cin[2], cin[2], cin[0], 1'b1}; + end + + 4'b0101: begin // #5 + map_msb(cin[4:0], msb_x, msb_y); + x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[1], 1'b1}; + y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[0], 1'b1}; + end + + 4'b0110: begin // #6 + x_o <= {cin[4], cin[4], cin[4], cin[4], cin[4], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {cin[5], cin[5], cin[5], cin[5], cin[5], cin[5], cin[3], cin[1], 1'b1}; + end + + 4'b0111: begin // #7 + map_msb(cin[6:2], msb_x, msb_y); + x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[2], cin[0], 1'b1}; + y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[3], cin[1], 1'b1}; + end + 4'b1000: begin // #8 + x_o <= {cin[6], cin[6], cin[6], cin[6], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {cin[7], cin[7], cin[7], cin[7], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + + 4'b1001: begin // #9 + map_msb(cin[7:3], msb_x, msb_y); + x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[5], cin[3], cin[1], 1'b1}; + end + 4'b1010: begin // #10 + x_o <= {cin[8], cin[8], cin[8], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {cin[9], cin[9], cin[9], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + + 4'b1011: begin // #11 + map_msb(cin[8:4], msb_x, msb_y); + x_o <= {msb_x[1], msb_x[1], msb_x[1], msb_x[0], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {msb_y[1], msb_y[1], msb_y[1], msb_y[0], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + 4'b1100: begin // #12 + x_o <= {cin[10], cin[10], cin[10], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {cin[11], cin[11], cin[11], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + + 4'b1101: begin // #13 + map_msb(cin[9:5], msb_x, msb_y); + x_o <= {msb_x[1], msb_x[1], msb_x[0], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {msb_y[1], msb_y[1], msb_y[0], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + 4'b1110: begin // #14 + x_o <= {cin[12], cin[12], cin[10], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {cin[13], cin[13], cin[11], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + + 4'b1111: begin // #15 TODO + map_msb(cin[10:6], msb_x, msb_y); + x_o <= {msb_x[1], msb_x[0], cin[10], cin[8], cin[6], cin[4], cin[2], cin[0], 1'b1}; + y_o <= {msb_y[1], msb_y[0], cin[11], cin[9], cin[7], cin[5], cin[3], cin[1], 1'b1}; + end + + endcase + end +end + +// +// determine the top two bits of X and Y based on table 7-12 in G.992.1 +// +task map_msb(input [4:0] t_msb, output [1:0] t_msb_x, output [1:0] t_msb_y ); + begin + case (t_msb) + 5'b00000: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end + 5'b00001: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end + 5'b00010: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end + 5'b00011: begin t_msb_x <= 2'b00; t_msb_y <= 2'b00; end + + 5'b00100: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end + 5'b00101: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end + 5'b00110: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end + 5'b00111: begin t_msb_x <= 2'b00; t_msb_y <= 2'b11; end + + 5'b01000: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end + 5'b01001: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end + 5'b01010: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end + 5'b01011: begin t_msb_x <= 2'b11; t_msb_y <= 2'b00; end + + 5'b01100: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end + 5'b01101: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end + 5'b01110: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end + 5'b01111: begin t_msb_x <= 2'b11; t_msb_y <= 2'b11; end + + 5'b10000: begin t_msb_x <= 2'b01; t_msb_y <= 2'b00; end + 5'b10001: begin t_msb_x <= 2'b01; t_msb_y <= 2'b00; end + 5'b10010: begin t_msb_x <= 2'b10; t_msb_y <= 2'b00; end + 5'b10011: begin t_msb_x <= 2'b10; t_msb_y <= 2'b00; end + + 5'b10100: begin t_msb_x <= 2'b00; t_msb_y <= 2'b01; end + 5'b10101: begin t_msb_x <= 2'b00; t_msb_y <= 2'b10; end + 5'b10110: begin t_msb_x <= 2'b00; t_msb_y <= 2'b01; end + 5'b10111: begin t_msb_x <= 2'b00; t_msb_y <= 2'b10; end + + 5'b11000: begin t_msb_x <= 2'b11; t_msb_y <= 2'b01; end + 5'b11001: begin t_msb_x <= 2'b11; t_msb_y <= 2'b10; end + 5'b11010: begin t_msb_x <= 2'b11; t_msb_y <= 2'b01; end + 5'b11011: begin t_msb_x <= 2'b11; t_msb_y <= 2'b10; end + + 5'b11100: begin t_msb_x <= 2'b01; t_msb_y <= 2'b11; end + 5'b11101: begin t_msb_x <= 2'b01; t_msb_y <= 2'b11; end + 5'b11110: begin t_msb_x <= 2'b10; t_msb_y <= 2'b11; end + 5'b11111: begin t_msb_x <= 2'b10; t_msb_y <= 2'b11; end + + endcase +end +endtask + +endmodule Index: dmt_tx/trunk/const_encoder/rtl/parameters.vh =================================================================== --- dmt_tx/trunk/const_encoder/rtl/parameters.vh (nonexistent) +++ dmt_tx/trunk/const_encoder/rtl/parameters.vh (revision 23) @@ -0,0 +1,25 @@ + +// +// parameter +// +parameter DW = 8; +parameter AW = 4; +parameter CONFAW = 10; +parameter CONFDW = 8; +parameter CNUMW = 4; // Width of the carrier number output +parameter CONSTW = 9; + +parameter SHIFTW = 23; // Width of the shift registers +parameter MAXBITNUM = 15; // Maximum bit number per carrier + +parameter USED_C_REG_W = 8; // Used carrier register width +parameter F_BITS_W = 8; +parameter REG_MEM_LEN = 256; + +// +// register addresses +// +parameter BIT_LOAD_ST_ADR = 12'h000; +parameter C_NUM_ST_ADR = 12'h100; +parameter USED_C_ADR = 12'h200; +parameter F_BITS_ADR = 12'h201; Index: dmt_tx/trunk/const_encoder/rtl/fifo.v =================================================================== --- dmt_tx/trunk/const_encoder/rtl/fifo.v (nonexistent) +++ dmt_tx/trunk/const_encoder/rtl/fifo.v (revision 23) @@ -0,0 +1,160 @@ +/* ***************************************************************** + * + * This file is part of the + * + * Tone Order and Constellation Encoder Core. + * + * + * Description: + * + * fifo is a synchronouys FIFO without write through. The read + * and write operation happens with the positive edge of the clk + * signal. If the FIFO is empty and performing a read/write operation + * with at the same clock cycle only the write operation will succeed. + * The read operation will not return a valid value. + * + * + ********************************************************************* + * Copyright (C) 2007 Guenter Dannoritzer + * + * This source is free software; you can redistribute it + * and/or modify it under the terms of the + * GNU General Public License + * as published by the Free Software Foundation; + * either version 3 of the License, + * or (at your option) any later version. + * + * This source is distributed in the hope + * that it will be useful, but WITHOUT ANY WARRANTY; + * without even the implied warranty of MERCHANTABILITY + * or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the + * GNU General Public License along with this source. + * If not, see . + * + * *****************************************************************/ +module fifo( + clk, + reset, + empty_o, + full_o, + one_available_o, + two_available_o, + we_i, + data_i, + re_i, + data_o); + +parameter DWIDTH = 8; +parameter AWIDTH = 4; + +input clk; +input reset; +output empty_o; +output full_o; +output one_available_o; +output two_available_o; +input we_i; +input [DWIDTH-1:0] data_i; +input re_i; +output [DWIDTH-1:0] data_o; + + + + +// +// local reg/wires +// +reg [AWIDTH-1:0] read_ptr; +reg [AWIDTH-1:0] write_ptr; +reg [AWIDTH:0] fill_ctr; + +wire dp_we_i; +wire dp_re_i; + +// +// instantiate the dual port ram +// +generic_dpram #(.aw(AWIDTH), + .dw(DWIDTH) + ) + dpram ( .rclk(clk), + .rrst(reset), + .rce(ce), + .oe(dp_re_i), + .raddr(read_ptr), + .do(data_o), + + .wclk(clk), + .wrst(reset), + .wce(ce), + .we(dp_we_i), + .waddr(write_ptr), + .di(data_i)); + + +// +// control logic +// +assign ce = 1'b1; + +assign one_available_o = (fill_ctr > 1'b0) ? 1'b1 : 1'b0; +assign two_available_o = (fill_ctr > 1'b1) ? 1'b1 : 1'b0; +assign empty_o = |fill_ctr ? 1'b0 : 1'b1; +assign full_o = fill_ctr[AWIDTH] ? 1'b1 : 1'b0; + +// make sure a write only happens to dp_ram when not full +assign dp_we_i = ~full_o ? we_i : 1'b0; +// make sure a read only happens to the dp_ram when not empty +assign dp_re_i = ~empty_o ? re_i : 1'b0; + +// +// fill counter +// +always @(posedge clk or posedge reset) begin + if(reset) begin + fill_ctr <= 0; + end + else begin + + if(dp_we_i & ~ dp_re_i) begin + fill_ctr <= fill_ctr + 1; + end + else if(dp_re_i & ~ dp_we_i) begin + fill_ctr <= fill_ctr - 1; + end + end +end + +// +// read pointer +// +always @(posedge clk or posedge reset) begin + if(reset) begin + read_ptr <= 0; + end + else begin + if(dp_re_i) begin + read_ptr <= read_ptr + 1; + end + end +end + +// +// write pointer +// +always @(posedge clk or posedge reset) begin + if(reset) begin + write_ptr <= 0; + end + else begin + if(dp_we_i) begin + write_ptr <= write_ptr + 1; + end + end +end + + +endmodule Index: dmt_tx/trunk/const_encoder/rtl/defs.vh =================================================================== --- dmt_tx/trunk/const_encoder/rtl/defs.vh (nonexistent) +++ dmt_tx/trunk/const_encoder/rtl/defs.vh (revision 23) @@ -0,0 +1,6 @@ + + +`define VENDOR_FPGA + + + Index: dmt_tx/trunk/const_encoder/rtl/generic_dpram.v =================================================================== --- dmt_tx/trunk/const_encoder/rtl/generic_dpram.v (nonexistent) +++ dmt_tx/trunk/const_encoder/rtl/generic_dpram.v (revision 23) @@ -0,0 +1,507 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Generic Dual-Port Synchronous RAM //// +//// //// +//// This file is part of memory library available from //// +//// http://www.opencores.org/cvsweb.shtml/generic_memories/ //// +//// //// +//// Description //// +//// This block is a wrapper with common dual-port //// +//// synchronous memory interface for different //// +//// types of ASIC and FPGA RAMs. Beside universal memory //// +//// interface it also provides behavioral model of generic //// +//// dual-port synchronous RAM. //// +//// It also contains a fully synthesizeable model for FPGAs. //// +//// It should be used in all OPENCORES designs that want to be //// +//// portable accross different target technologies and //// +//// independent of target memory. //// +//// //// +//// Supported ASIC RAMs are: //// +//// - Artisan Dual-Port Sync RAM //// +//// - Avant! Two-Port Sync RAM (*) //// +//// - Virage 2-port Sync RAM //// +//// //// +//// Supported FPGA RAMs are: //// +//// - Generic FPGA (VENDOR_FPGA) //// +//// Tested RAMs: Altera, Xilinx //// +//// Synthesis tools: LeonardoSpectrum, Synplicity //// +//// - Xilinx (VENDOR_XILINX) //// +//// - Altera (VENDOR_ALTERA) //// +//// //// +//// To Do: //// +//// - fix Avant! //// +//// - add additional RAMs (VS etc) //// +//// //// +//// Author(s): //// +//// - Richard Herveille, richard@asics.ws //// +//// - Damjan Lampret, lampret@opencores.org //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Authors and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.4 2002/09/28 08:18:52 rherveille +// Changed synthesizeable FPGA memory implementation. +// Fixed some issues with Xilinx BlockRAM +// +// Revision 1.3 2001/11/09 00:34:18 samg +// minor changes: unified with all common rams +// +// Revision 1.2 2001/11/08 19:11:31 samg +// added valid checks to behvioral model +// +// Revision 1.1.1.1 2001/09/14 09:57:10 rherveille +// Major cleanup. +// Files are now compliant to Altera & Xilinx memories. +// Memories are now compatible, i.e. drop-in replacements. +// Added synthesizeable generic FPGA description. +// Created "generic_memories" cvs entry. +// +// Revision 1.1.1.2 2001/08/21 13:09:27 damjan +// *** empty log message *** +// +// Revision 1.1 2001/08/20 18:23:20 damjan +// Initial revision +// +// Revision 1.1 2001/08/09 13:39:33 lampret +// Major clean-up. +// +// Revision 1.2 2001/07/30 05:38:02 lampret +// Adding empty directories required by HDL coding guidelines +// +// + +//`include "timescale.v" +// +`include "defs.vh" + +//`define VENDOR_FPGA +//`define VENDOR_XILINX +//`define VENDOR_ALTERA + +module generic_dpram( + // Generic synchronous dual-port RAM interface + rclk, rrst, rce, oe, raddr, do, + wclk, wrst, wce, we, waddr, di +); + + // + // Default address and data buses width + // + parameter aw = 5; // number of bits in address-bus + parameter dw = 16; // number of bits in data-bus + + // + // Generic synchronous double-port RAM interface + // + // read port + input rclk; // read clock, rising edge trigger + input rrst; // read port reset, active high + input rce; // read port chip enable, active high + input oe; // output enable, active high + input [aw-1:0] raddr; // read address + output [dw-1:0] do; // data output + + // write port + input wclk; // write clock, rising edge trigger + input wrst; // write port reset, active high + input wce; // write port chip enable, active high + input we; // write enable, active high + input [aw-1:0] waddr; // write address + input [dw-1:0] di; // data input + + // + // Module body + // + +`ifdef VENDOR_FPGA + // + // Instantiation synthesizeable FPGA memory + // + // This code has been tested using LeonardoSpectrum and Synplicity. + // The code correctly instantiates Altera EABs and Xilinx BlockRAMs. + // + reg [dw-1:0] mem [(1<. + * + * *****************************************************************/ + +module tb_const_encoder(); + +parameter TW = 10; + +`include "parameters.vh" + + +reg clk; +reg reset; +wire fast_ready_o; +reg we_fast_data_i; +reg [DW-1:0] fast_data_i; +wire inter_ready_o; +reg we_inter_data_i; +reg [DW-1:0] inter_data_i; + +reg we_conf_i; +reg [CONFAW-1:0] addr_i; +reg [CONFDW-1:0] conf_data_i; +wire [CNUMW-1:0] carrier_num_o; +wire signed [CONSTW-1:0] x_o; +wire signed [CONSTW-1:0] y_o; + +// +// instantiate the DUT +// +const_encoder dut ( .clk(clk), + .reset(reset), + .fast_ready_o(fast_ready_o), + .we_fast_data_i(we_fast_data_i), + .fast_data_i(fast_data_i), + .inter_ready_o(inter_ready_o), + .we_inter_data_i(we_inter_data_i), + .inter_data_i(inter_data_i), + .addr_i(addr_i), + .we_conf_i(we_conf_i), + .conf_data_i(conf_data_i), + .xy_ready_o(xy_ready_o), + .carrier_num_o(carrier_num_o), + .x_o(x_o), + .y_o(y_o)); + +// +// instantiate test data modules +// +const_map_2bit cm_2bit(); +const_map_3bit cm_3bit(); +const_map_4bit cm_4bit(); +const_map_5bit cm_5bit(); + + +initial begin + clk = 0; + we_fast_data_i = 0; + we_inter_data_i = 0; + we_conf_i = 0; + reset = 0; +end + +always begin + #TW clk = ~clk; +end + +// +// dump signals +// +initial begin + $dumpfile("tb_const_enc.vcd"); + $dumpvars; +end + + +// +// main test +// + +initial begin + + $monitor($time, " reset: ", reset); + + apply_reset; + + // + // write configuration + // + write_config(BIT_LOAD_ST_ADR, 2); + write_config(BIT_LOAD_ST_ADR+1, 3); + write_config(BIT_LOAD_ST_ADR+2, 4); + write_config(BIT_LOAD_ST_ADR+3, 5); + + write_config(C_NUM_ST_ADR, 48); + write_config(C_NUM_ST_ADR+1, 49); + write_config(C_NUM_ST_ADR+2, 50); + write_config(C_NUM_ST_ADR+3, 51); + + + write_config(USED_C_ADR, 4); + write_config(F_BITS_ADR, 7); + + // + // check written configuration + // + check_config(BIT_LOAD_ST_ADR, 2); + check_config(BIT_LOAD_ST_ADR+1, 3); + check_config(BIT_LOAD_ST_ADR+2, 4); + check_config(BIT_LOAD_ST_ADR+3, 5); + + check_config(C_NUM_ST_ADR, 48); + check_config(C_NUM_ST_ADR+1, 49); + check_config(C_NUM_ST_ADR+2, 50); + check_config(C_NUM_ST_ADR+3, 51); + + check_config(USED_C_ADR, 4); + check_config(F_BITS_ADR, 7); + + // + // checking the constellation map + // + check_const_map(2); + check_const_map(3); + check_const_map(4); + check_const_map(5); + + #1000 $finish(); + +end // main test + + +// //////////////////////////////////////////////////////////////////// +// +// bus functional models +// +// //////////////////////////////////////////////////////////////////// + +task apply_reset; + begin + reset = 0; + #20 + reset = 1; + @(posedge clk); + reset = 0; + end +endtask + +// +// write data to the configuration registers +// +task write_config(input [CONFAW-1:0] addr, input[CONFDW-1:0] data); + begin + + addr_i = addr; + conf_data_i = data; + @(negedge clk); + we_conf_i = 1; + @(negedge clk); + we_conf_i = 0; + + end +endtask + +// +// check the written configuration +// +task check_config(input [CONFAW-1:0] addr, input [CONFDW-1:0] exp_data); + begin + + if(addr >= 0 && addr < C_NUM_ST_ADR) begin + + if(dut.BitLoading[addr] !== exp_data) begin + $display("ERROR! => BitLoading does not match @ %x!", addr); + $display(" Got: %d expected: %d", + dut.BitLoading[addr], exp_data); + end + + end + else if(addr >= C_NUM_ST_ADR && addr < USED_C_ADR) begin + + if(dut.CarrierNumber[addr-C_NUM_ST_ADR] !== exp_data) begin + $display("ERROR! => CarrierNumber does not match @ %x!", addr); + $display(" Got: %d expected: %d", + dut.CarrierNumber[addr-C_NUM_ST_ADR], exp_data); + end + + end + else if(addr == USED_C_ADR) begin + + if(dut.UsedCarrier !== exp_data) begin + $display("ERROR! => UsedCarrier does not match @ %x!", addr); + $display(" Got: %d expected: %d", + dut.UsedCarrier, exp_data); + end + + end + else if(addr == F_BITS_ADR) begin + + if(dut.FastBits !== exp_data) begin + $display("ERROR! => FastBits does not match @ %x!", addr); + $display(" Got: %d expected: %d", + dut.FastBits, exp_data); + end + + end + end +endtask + + +// +// check constellation map +// +// This task feeds in data direct to the constellation encoder module +// and checks the expected outcome. +// +// Given parameter is the bit size of the constellation map. +// +task check_const_map(input [3:0] bit); + integer len; + integer i; + begin + len = 1 << bit; + for(i=0; i x_o expected: %d got: %d", i, cm_2bit.re[i], x_o); + end + if(cm_2bit.im[i] !== y_o) begin + $display("Input: %d --> y_o expected: %d got: %d", i, cm_2bit.im[i], y_o); + end + end + + 3: begin + if(cm_3bit.re[i] !== x_o) begin + $display("Input: %d --> x_o expected: %d got: %d", i, cm_3bit.re[i], x_o); + end + if(cm_3bit.im[i] !== y_o) begin + $display("Input: %d --> y_o expected: %d got: %d", i, cm_3bit.im[i], y_o); + end + end + + 4: begin + if(cm_4bit.re[i] !== x_o) begin + $display("Input: %d --> x_o expected: %d got: %d", i, cm_4bit.re[i], x_o); + end + if(cm_4bit.im[i] !== y_o) begin + $display("Input: %d --> y_o expected: %d got: %d", i, cm_4bit.im[i], y_o); + end + end + + 5: begin + if(cm_5bit.re[i] !== x_o) begin + $display($time, " Input: %d --> x_o expected: %d got: %d", i, cm_5bit.re[i], x_o); + end + if(cm_5bit.im[i] !== y_o) begin + $display($time, " Input: %d --> y_o expected: %d got: %d", i, cm_5bit.im[i], y_o); + end + end + + + default: $display("%d is not an implemented bit size", bit); + endcase + + end + + end +endtask + + +endmodule Index: dmt_tx/trunk/const_encoder/tb/tb_fifo.v =================================================================== --- dmt_tx/trunk/const_encoder/tb/tb_fifo.v (nonexistent) +++ dmt_tx/trunk/const_encoder/tb/tb_fifo.v (revision 23) @@ -0,0 +1,336 @@ +/* ***************************************************************** + * + * This file is part of the + * + * Tone Order and Constellation Encoder Core. + * + * Copyright (C) 2007 Guenter Dannoritzer + * + * This source is free software; you can redistribute it + * and/or modify it under the terms of the + * GNU General Public License + * as published by the Free Software Foundation; + * either version 3 of the License, + * or (at your option) any later version. + * + * This source is distributed in the hope + * that it will be useful, but WITHOUT ANY WARRANTY; + * without even the implied warranty of MERCHANTABILITY + * or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the + * GNU General Public License along with this source. + * If not, see . + * + * *****************************************************************/ +module tb_fifo; + +parameter AWIDTH = 2; +parameter DWIDTH = 8; +parameter TW=10; + + + +// +// to interface the dut +// +reg clk; +reg reset; +reg [DWIDTH-1:0] data_i; +reg re_i; +wire empty_o; +wire full_o; +wire one_available_o; +wire two_available_o; +reg we_i; +reg [DWIDTH-1:0] data_i; +reg re_i; +wire [DWIDTH-1:0] data_o; + + + +// +// instantiate the DUT +// +fifo #(.AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) + + dut ( .clk(clk), + .reset(reset), + .empty_o(empty_o), + .full_o(full_o), + .one_available_o(one_available_o), + .two_available_o(two_available_o), + .we_i(we_i), + .data_i(data_i), + .re_i(re_i), + .data_o(data_o)); + + +// +// local reg/wires +// +reg [DWIDTH-1:0] got_data; + +// +// main tests +// + +initial begin + clk = 0; + we_i = 0; + re_i = 0; + reset = 0; +end + +always begin + #TW clk = ~clk; +end + +// +// dump signals +// +initial begin + $dumpfile("tb_fifo.vcd"); + $dumpvars; +end + + +initial begin + $display("=== Verifing FIFO ==="); + + $display("- reset test"); + test_reset; + check_control(5'b0001); + + $display("- verify write followed by read"); + write_data(8'haa); + check_control(5'b0100); + + read_data(got_data); + check_result(got_data, 8'haa); + check_control(5'b0001); + + // fifo is empty again + + // fill it and only expect after the 4th write a full signal + + $display("- fill FIFO up"); + // #1 + write_data(8'h70); + check_control(5'b0100); + // #2 + write_data(8'h71); + check_control(5'b1100); + // #3 + write_data(8'h72); + check_control(5'b1100); + // #4 + write_data(8'h73); + check_control(5'b1110); + + $display("- FIFO is full, another write should not have an affect"); + write_data(8'hab); + check_control(5'b1110); + + $display("- verify reading the data from the full FIFO back"); + // #1 + read_data(got_data); + check_result(got_data, 8'h70); + check_control(5'b1100); + // #2 + read_data(got_data); + check_result(got_data, 8'h71); + check_control(5'b1100); + // #3 + read_data(got_data); + check_result(got_data, 8'h72); + check_control(5'b0100); + // #4 + read_data(got_data); + check_result(got_data, 8'h73); + check_control(5'b0001); + + + $display("= Now test a read/write at the same clock ="); + + + $display("- First have an empty FIFO and do the read/write"); + // read should fail but write should succeed + fork + read_data(got_data); + write_data(8'h80); + join + check_control(5'b0100); + read_data(got_data); + check_result(got_data, 8'h80); + + + // + $display("- Now have one entry in the FIFO and do a read/write"); + // read should bring the first value back and the written value + // should stay + write_data(8'h90); + fork + read_data(got_data); + write_data(8'hA0); + join + check_control(5'b0100); + check_result(got_data, 8'h90); + + read_data(got_data); + check_result(got_data, 8'hA0); + check_control(5'b0001); + + + + $display("- Finally fill up the FIFO and to the read/write"); + // #1 + write_data(8'h10); + check_control(5'b0100); + // #2 + write_data(8'h11); + check_control(5'b1100); + // #3 + write_data(8'h12); + check_control(5'b1100); + // #4 + write_data(8'h13); + check_control(5'b1110); + + // doing the read/write, as the FIFO is full the written value should + // not end up in the FIFO + fork + read_data(got_data); + write_data(8'h20); + join + + check_control(5'b1100); + check_result(got_data, 8'h10); + + // doing a read/write with one empty spot, the read should return the + // last but one value and the write should end up in the FIFO + fork + read_data(got_data); + write_data(8'h21); + join + + check_control(5'b1100); + check_result(got_data, 8'h11); + + + // so reading back the values, should return the 3 remaining values + // #1 + read_data(got_data); + check_result(got_data, 8'h12); + check_control(5'b1100); + // #2 + read_data(got_data); + check_result(got_data, 8'h13); + check_control(5'b0100); + // #3 + read_data(got_data); + check_result(got_data, 8'h21); + check_control(5'b0001); + + $display("FIFO verification done!"); + + $finish(); + +end + + + + +// //////////////////////////////////////////////////////////////////// +// +// bus functional models +// +// //////////////////////////////////////////////////////////////////// + +task test_reset; + begin + //$display("Testing reset"); + reset = 0; + #10 reset = 1; + #20 reset = 0; + +end +endtask + + +// ===================================================================== +// check the expected control line status +// +// exp_ctrl[4:0] == {two_available, one_available, full, empty} +// +task check_control(input [4:0]exp_ctrl); + begin + + //$display("# %d expCtrl: %d", $time, exp_ctrl); + + if(empty_o !== exp_ctrl[0]) + $display("ERROR! => Expected empty_o == %d, got %d", exp_ctrl[0], empty_o); + + if(full_o !== exp_ctrl[1]) + $display("ERROR! => Expected full_o == %d, got %d", exp_ctrl[1], full_o); + + if(one_available_o !== exp_ctrl[2]) + $display("ERROR! => Expected one_available_o == %d, got %d", exp_ctrl[3], one_available_o); + + if(two_available_o !== exp_ctrl[3]) + $display("ERROR! => Expected two_available_o == %d, got %d", exp_ctrl[4], two_available_o); + + end +endtask + + +// ===================================================================== +// +// write data to the fifo +// +task write_data(input [DWIDTH-1:0]data); + begin + //$display("# %d Writing data", $time); + @(negedge clk); + data_i = data; + we_i = 1; + @(negedge clk); + we_i = 0; + + end +endtask + +// ===================================================================== +// +// read data from the fifo +// +// +task read_data(output [DWIDTH-1:0]data); + begin + + //$display("# %d Reading data", $time); + @(negedge clk); + re_i = 1; + @(negedge clk); + data = data_o; + re_i = 0; + + end +endtask + + +// ===================================================================== +// +// check result +// +// +task check_result(input [DWIDTH-1:0]got, input [DWIDTH-1:0]expected); + begin + if(got !== expected) + $display("ERROR! => Result does not match! Got: %d (%x) expected: %d (%x)", got, got, expected, expected); + end +endtask + +endmodule + Index: dmt_tx/trunk/const_encoder/Makefile =================================================================== --- dmt_tx/trunk/const_encoder/Makefile (nonexistent) +++ dmt_tx/trunk/const_encoder/Makefile (revision 23) @@ -0,0 +1,45 @@ +CC = iverilog +SIM = vvp + +CFLAGS =-Wall -Irtl/ + +vpath %.v rtl tb +vpath %.vh rtl + +FIFO = fifo.v \ + generic_dpram.v +CONST_ENC = $(FIFO) \ + const_enc.v + + + +.PHONY: all sim doc clean + +all: sim + + +sim: fifo const_enc + + +const_enc: const_enc.vvp + @echo -e "\n--> Simulating $@" + $(SIM) $^ + +const_enc.vvp: tb_const_enc.v const_map_data.v $(CONST_ENC) + $(CC) $(CFLAGS) $^ -s tb_const_encoder -o $@ + +fifo: fifo.vvp + @echo -e "\n--> Simulating $@" + $(SIM) $^ + +fifo.vvp: tb_fifo.v $(FIFO) + @echo -e "\n--> Compiling $@" + $(CC) $(CFLAGS) $^ -s tb_fifo -o $@ + +doc: + $(MAKE) -C doc/ + + +clean: + rm -f *.vvp + $(MAKE) -C doc/ cleantex Index: dmt_tx/trunk/const_encoder/doc/ConstSpec.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: dmt_tx/trunk/const_encoder/doc/ConstSpec.pdf =================================================================== --- dmt_tx/trunk/const_encoder/doc/ConstSpec.pdf (nonexistent) +++ dmt_tx/trunk/const_encoder/doc/ConstSpec.pdf (revision 23)
dmt_tx/trunk/const_encoder/doc/ConstSpec.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: dmt_tx/trunk/gpl.txt =================================================================== --- dmt_tx/trunk/gpl.txt (nonexistent) +++ dmt_tx/trunk/gpl.txt (revision 23) @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. Index: dmt_tx/trunk =================================================================== --- dmt_tx/trunk (nonexistent) +++ dmt_tx/trunk (revision 23)
dmt_tx/trunk Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: dmt_tx/web_uploads =================================================================== --- dmt_tx/web_uploads (nonexistent) +++ dmt_tx/web_uploads (revision 23)
dmt_tx/web_uploads Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: dmt_tx/branches =================================================================== --- dmt_tx/branches (nonexistent) +++ dmt_tx/branches (revision 23)
dmt_tx/branches Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: dmt_tx/tags/import/doc/src/dmt_tx_part1_modem_spec.sxw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: dmt_tx/tags/import/doc/src/dmt_tx_part1_modem_spec.sxw =================================================================== --- dmt_tx/tags/import/doc/src/dmt_tx_part1_modem_spec.sxw (nonexistent) +++ dmt_tx/tags/import/doc/src/dmt_tx_part1_modem_spec.sxw (revision 23)
dmt_tx/tags/import/doc/src/dmt_tx_part1_modem_spec.sxw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: dmt_tx/tags/import/doc/dmt_tx_part1_modem_spec.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: dmt_tx/tags/import/doc/dmt_tx_part1_modem_spec.pdf =================================================================== --- dmt_tx/tags/import/doc/dmt_tx_part1_modem_spec.pdf (nonexistent) +++ dmt_tx/tags/import/doc/dmt_tx_part1_modem_spec.pdf (revision 23)
dmt_tx/tags/import/doc/dmt_tx_part1_modem_spec.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: dmt_tx/tags/import/bench/vhdl/tb_dmt_mod.vhd =================================================================== --- dmt_tx/tags/import/bench/vhdl/tb_dmt_mod.vhd (nonexistent) +++ dmt_tx/tags/import/bench/vhdl/tb_dmt_mod.vhd (revision 23) @@ -0,0 +1,96 @@ +------------------------------------------------------------------------------- +-- Title : Testbench for DMT modulator +-- Project : +------------------------------------------------------------------------------- +-- File : tb_dmt_mod.vhd +-- Author : +-- Company : +-- Created : 2004-05-17 +-- Last update: 2004-05-17 +-- Platform : +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2004 +------------------------------------------------------------------------------- +-- Revisions : $Id: tb_dmt_mod.vhd,v 1.1.1.1 2004-05-17 19:58:40 dannori Exp $ +-- Date Version Author Description +-- 2004-05-17 1.0 guenter Created +------------------------------------------------------------------------------- + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity tb_dmt_mod is + + generic (Tpw_clk : time := 5 ns); + +end tb_dmt_mod; + +architecture arch of tb_dmt_mod is + + component dmt_mod + port ( + clk_i : in std_logic; + rst_i : in std_logic; + data_en_i : in std_logic; + data_i : in std_logic_vector(14 downto 0); + conf_we_i : in std_logic; + bin_addr_i : in std_logic_vector(7 downto 0); + const_size_i : in std_logic_vector(3 downto 0); + gain_i : in std_logic_vector(11 downto 0); + data_o : out std_logic_vector(15 downto 0)); + + end component; + + -- Stimulus signals for DUT + signal sig_clk_i : std_logic; + signal sig_rst_i : std_logic; + signal sig_data_en_i : std_logic; + signal sig_data_i : std_logic_vector(14 downto 0); + signal sig_conf_we_i : std_logic; + signal sig_bin_addr_i : std_logic_vector(7 downto 0); + signal sig_const_size_i : std_logic_vector(3 downto 0); + signal sig_gain_i : std_logic_vector(11 downto 0); + signal sig_data_o : std_logic_vector(15 downto 0); + + + +begin -- arch + + DUT : dmt_mod + port map( + + clk_i => sig_clk_i, + rst_i => sig_rst_i, + data_en_i => sig_data_en_i, + data_i => sig_data_i, + conf_we_i => sig_conf_we_i, + bin_addr_i => sig_bin_addr_i, + const_size_i => sig_const_size_i, + gain_i => sig_gain_i, + data_o => sig_data_o); + + ----------------------------------------------------------------------------- + -- clock generator + clock_gen : process(sig_clk_i) is + begin + if sig_clk_i = '0' then + sig_clk_i <= '1' after Tpw_clk, '0' after 2 * Tpw_clk; + end if; + end process clock_gen; + + + -- purpose: ends the simulation after a specified number of clock cycles + sim_timing : process + begin -- process sim_timing + for count in 0 to 10 loop + wait until sig_clk_i'event and sig_clk_i = '1'; + end loop; -- count + + assert sig_clk_i /= '1' report "\n---> Finished simulation!" severity failure; + end process sim_timing; + +end arch; Index: dmt_tx/tags/import/rtl/vhdl/dmt_mod.vhd =================================================================== --- dmt_tx/tags/import/rtl/vhdl/dmt_mod.vhd (nonexistent) +++ dmt_tx/tags/import/rtl/vhdl/dmt_mod.vhd (revision 23) @@ -0,0 +1,45 @@ +------------------------------------------------------------------------------- +-- Title : DMT modulator +-- Project : +------------------------------------------------------------------------------- +-- File : dmt_mod.vhd +-- Author : +-- Company : +-- Created : 2004-05-17 +-- Last update: 2004-05-17 +-- Platform : +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2004 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-05-17 1.0 guenter Created +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity dmt_mod is + + port ( + clk_i : in std_logic; + rst_i : in std_logic; + data_en_i : in std_logic; -- enables the data processing mode + data_i : in std_logic_vector(14 downto 0); -- input data to the modulator core + conf_we_i : in std_logic; -- enables the configuration mode + bin_addr_i : in std_logic_vector(7 downto 0); -- addresses the bin configuration + const_size_i : in std_logic_vector(3 downto 0); -- constellation size for the addressed bin + gain_i : in std_logic_vector(11 downto 0); -- gain value for the addressed bin + data_o : out std_logic_vector(15 downto 0)); -- output data from the modulator core + +end dmt_mod; + +architecture arch of dmt_mod is + +begin -- arch + + + +end arch; Index: dmt_tx/tags =================================================================== --- dmt_tx/tags (nonexistent) +++ dmt_tx/tags (revision 23)
dmt_tx/tags Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ##

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.