OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 22 to Rev 23
    Reverse comparison

Rev 22 → Rev 23

/sincos/trunk/vhdl/arith/sincos/sincos_tb.do
0,0 → 1,9
vcom -work work -2002 -explicit D:/lib/vhdl/tb/clk_rst/clk_rst.vhd
vcom -work work -2002 -explicit D:/lib/vhdl/msi/pipestage/pipestage.vhd
vcom -work work -2002 -explicit D:/lib/vhdl/arith/sincos/sincos.vhd
vcom -work work -2002 -explicit D:/lib/vhdl/arith/sincos/sincos_tb.vhd
vsim work.sincos_tb
log -r /*
do sincos_tb_wave.do
run 2800 ns
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.