OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 225 to Rev 226
    Reverse comparison

Rev 225 → Rev 226

/trunk/rtl/vhdl/system/t8039_notri.vhd
3,7 → 3,7
-- T8039 Microcontroller System
-- 8039 toplevel without tri-states
--
-- $Id: t8039_notri.vhd,v 1.3 2006-06-20 00:47:08 arniml Exp $
-- $Id: t8039_notri.vhd,v 1.4 2006-06-21 01:02:35 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
87,8 → 87,7
use ieee.numeric_std.all;
 
use work.t48_core_comp_pack.t48_core;
use work.t48_core_comp_pack.syn_rom;
use work.t48_core_comp_pack.syn_ram;
use work.t48_core_comp_pack.generic_ram_ena;
 
architecture struct of t8039_notri is
 
104,8 → 103,12
signal p2_in_s,
p2_out_s : std_logic_vector( 7 downto 0);
 
signal vdd_s : std_logic;
 
begin
 
vdd_s <= '1';
 
-----------------------------------------------------------------------------
-- Check generics for valid values.
-----------------------------------------------------------------------------
184,17 → 187,18
p2_o <= p2_out_s;
 
 
ram_128_b : syn_ram
ram_128_b : generic_ram_ena
generic map (
address_width_g => 7
addr_width_g => 7,
data_width_g => 8
)
port map (
clk_i => xtal_i,
res_i => reset_n_i,
ram_addr_i => dmem_addr_s(6 downto 0),
ram_data_i => dmem_data_to_s,
ram_we_i => dmem_we_s,
ram_data_o => dmem_data_from_s
clk_i => xtal_i,
a_i => dmem_addr_s(6 downto 0),
we_i => dmem_we_s,
ena_i => vdd_s,
d_i => dmem_data_to_s,
d_o => dmem_data_from_s
);
 
end struct;
204,6 → 208,9
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.3 2006/06/20 00:47:08 arniml
-- new input xtal_en_i
--
-- Revision 1.2 2005/11/01 21:38:10 arniml
-- wire signals for P2 low impedance marker issue
--
/trunk/rtl/vhdl/system/t8039_notri-c.vhd
3,7 → 3,7
-- T8039 Microcontroller System
-- 8039 toplevel without tri-states
--
-- $Id: t8039_notri-c.vhd,v 1.1 2004-12-03 19:42:34 arniml Exp $
-- $Id: t8039_notri-c.vhd,v 1.2 2006-06-21 01:02:35 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
15,8 → 15,8
 
for struct
 
for ram_128_b : syn_ram
use configuration work.syn_ram_lpm_c0;
for ram_128_b : generic_ram_ena
use configuration work.generic_ram_ena_rtl_c0;
end for;
 
for t48_core_b : t48_core

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.