OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 232 to Rev 233
    Reverse comparison

Rev 232 → Rev 233

/ion/trunk/vhdl/SoC/bootstrap_code_pkg.vhdl
56,7 → 56,7
 
-- Memory initialization data --------------------------------------------------
 
constant obj_code : t_obj_code(0 to 3678) := (
constant obj_code : t_obj_code(0 to 3679) := (
X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
505,18 → 505,19
X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
X"00", X"02", X"16", X"02", X"63", X"6f", X"6d", X"70",
X"30", X"42", X"00", X"ff", X"63", X"6f", X"6d", X"70",
X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
X"3a", X"20", X"4a", X"75", X"6e", X"20", X"31", X"36",
X"3a", X"20", X"4f", X"63", X"74", X"20", X"32", X"37",
X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
X"20", X"30", X"38", X"3a", X"34", X"31", X"3a", X"35",
X"38", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
X"20", X"30", X"30", X"3a", X"34", X"36", X"3a", X"30",
X"34", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00" );
X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00", X"00"
);
 
 
 
/ion/trunk/vhdl/SoC/mips_soc.vhdl
18,7 → 18,7
------------
--
-- BOOT_BRAM_SIZE: Size of boot BRAM in 32-bit words. Can't be zero.
-- OBJ_CODE: Bootstrap object code (mapped at 0xbfc00000).
-- OBJECT_CODE: Bootstrap object code (mapped at 0xbfc00000).
-- SRAM_ADDR_SIZE: Size of address bus for SRAM interface.
-- CLOCK_FREQ: Clock rate in Hz. Used for the UART configuration.
-- BAUD_RATE: UART baud rate.
91,7 → 91,7
BAUD_RATE : integer := 19200;
BOOT_BRAM_SIZE : integer := 1024;
-- FIXME Boot BRAM can't be omitted
OBJ_CODE : t_obj_code := default_object_code;
OBJECT_CODE : t_obj_code := default_object_code;
SRAM_ADDR_SIZE : integer := 17 -- < 10 to disable SRAM I/F
-- FIXME SRAM I/F can't be disabled
);
158,7 → 158,7
subtype t_boot_bram_address is std_logic_vector(BOOT_BRAM_ADDR_SIZE-1 downto 0);
-- Boot BRAM, initialized with constant object code table
signal boot_bram : t_word_table(0 to BOOT_BRAM_SIZE-1) :=
objcode_to_wtable(OBJ_CODE, BOOT_BRAM_SIZE);
objcode_to_wtable(OBJECT_CODE, BOOT_BRAM_SIZE);
 
-- NOTE: 'write' signals are a remnant from a previous version, to be removed
signal bram_rd_addr : t_boot_bram_address;
/ion/trunk/vhdl/demo/c2sb_demo.vhdl
2,8 → 2,9
-- ION MIPS-compatible CPU demo on Terasic DE-1 Cyclone-II starter board
--##############################################################################
-- This module is little more than a wrapper around the SoC.
-- Synthesize with 'speed' optimization for best results.
--------------------------------------------------------------------------------
-- Switch 9 (leftmost) is used as reset.
--------------------------------------------------------------------------------
-- NOTE: See note at bottom of file about optional use of PLL.
--##############################################################################
-- Copyright (C) 2011 Jose A. Ruiz
135,8 → 136,8
signal reset_sync : std_logic_vector(3 downto 0);
 
-- Reset pushbutton debouncing logic
subtype t_debouncer is integer range 0 to CLOCK_FREQ;
constant DEBOUNCING_DELAY : t_debouncer := 500;
subtype t_debouncer is integer range 0 to CLOCK_FREQ*4;
constant DEBOUNCING_DELAY : t_debouncer := 1500;
signal debouncing_counter : t_debouncer := (CLOCK_FREQ/1000) * DEBOUNCING_DELAY;
 
-- Quad 7-segment display (non multiplexed) & LEDS
225,7 → 226,8
 
mpu: entity work.mips_soc
generic map (
OBJ_CODE => obj_code,
OBJECT_CODE => obj_code,
BOOT_BRAM_SIZE => work.obj_code_pkg.BRAM_SIZE,
CLOCK_FREQ => CLOCK_FREQ,
SRAM_ADDR_SIZE => SRAM_ADDR_SIZE
)
363,7 → 365,7
-- RESET, CLOCK
--##############################################################################
 
-- Use button 3 as reset
 
-- This FF chain only prevents metastability trouble, it does not help with
-- switching bounces.
-- (NOTE: the anti-metastability logic is probably not needed when we include
372,7 → 374,7
process(clk)
begin
if clk'event and clk='1' then
reset_sync(3) <= not buttons(2);
reset_sync(3) <= not switches(9);
reset_sync(2) <= reset_sync(3);
reset_sync(1) <= reset_sync(2);
reset_sync(0) <= reset_sync(1);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.