OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 236 to Rev 237
    Reverse comparison

Rev 236 → Rev 237

/openrisc/trunk/gnu-src/gdb-7.1/readline/examples/rlfe/ChangeLog
1,6 → 1,6
2010-08-01 Jeremy Bennett <jeremy.bennett@embecosm.com>
 
* extern.h, os.h: Removed $Id$.
* extern.h, os.h: Removed (dollar) Id.
 
2009-08-22 Ralf Wildenhues <Ralf.Wildenhues@gmx.de>
 
/openrisc/trunk/gnu-src/gdb-7.1/sim/or32/wrapper.c
568,7 → 568,8
dsr |= OR32_SPR_DSR_TE;
(void) or1ksim_write_spr (OR32_SPR_DSR, dsr);
 
/* Set the single step trigger in Debug Mode Register 1 if we are stepping. */
/* Set the single step trigger in Debug Mode Register 1 if we are
stepping. Otherwise clear it! */
if (step)
{
(void) or1ksim_read_spr (OR32_SPR_DMR1, &dmr1);
575,6 → 576,12
dmr1 |= OR32_SPR_DMR1_ST;
(void) or1ksim_write_spr (OR32_SPR_DMR1, dmr1);
}
else
{
(void) or1ksim_read_spr (OR32_SPR_DMR1, &dmr1);
dmr1 &= ~OR32_SPR_DMR1_ST;
(void) or1ksim_write_spr (OR32_SPR_DMR1, dmr1);
}
 
/* Set the NPC if it has changed */
(void) or1ksim_read_reg (OR32_NPC_REGNUM, &npc);
603,7 → 610,17
case OR1KSIM_RC_BRKPT:
sd->last_reason = sim_stopped;
sd->last_rc = TARGET_SIGNAL_TRAP;
(void) or1ksim_read_reg (OR32_PPC_REGNUM, &(sd->resume_npc));
 
/* This could have been a breakpoint or single step. */
if (step)
{
(void) or1ksim_read_reg (OR32_NPC_REGNUM, &(sd->resume_npc));
}
else
{
(void) or1ksim_read_reg (OR32_PPC_REGNUM, &(sd->resume_npc));
}
 
break;
 
case OR1KSIM_RC_OK:
/openrisc/trunk/gnu-src/gdb-7.1/sim/or32/ChangeLog
1,3 → 1,9
2010-08-04 Jeremy Bennett <jeremy.bennett@embecosm.com>
 
* wrapper.c (sim_resume): Only set the NPC back on a true
breakpoint, not a single step. Clear the single step flag if NOT
stepping before unstalling.
 
2010-07-20 Jeremy Bennett <jeremy.bennett@embecosm.com>
 
* configure: Regenerated.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.