OpenCores
URL https://opencores.org/ocsvn/adv_debug_sys/adv_debug_sys/trunk

Subversion Repositories adv_debug_sys

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 24 to Rev 25
    Reverse comparison

Rev 24 → Rev 25

/adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/or1k_debug_sys_manual.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/or1k_debug_sys_manual.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag.odg (revision 24) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag.odg (nonexistent)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag.odg Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_or1ksim.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_or1ksim.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_or1ksim.odg (revision 24) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_or1ksim.odg (nonexistent)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_or1ksim.odg Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_vpi.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_vpi.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_vpi.odg (revision 24) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_vpi.odg (nonexistent)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_vpi.odg Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_rtl.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_rtl.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_rtl.odg (revision 24) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_rtl.odg (nonexistent)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/block_diag_sim_rtl.odg Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag_altera.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag_altera.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag_altera.odg (revision 24) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag_altera.odg (nonexistent)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/debug_sys_blk_diag_altera.odg Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/or1k_debug_sys_manual.odt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/or1k_debug_sys_manual.odt =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/or1k_debug_sys_manual.odt (revision 24) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/or1k_debug_sys_manual.odt (nonexistent)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/trunk/Doc/src/or1k_debug_sys_manual.odt Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/or1k_debug_sys_manual.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/or1k_debug_sys_manual.pdf =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/or1k_debug_sys_manual.pdf (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/or1k_debug_sys_manual.pdf (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/or1k_debug_sys_manual.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/or1k_debug_sys_manual.odt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/or1k_debug_sys_manual.odt =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/or1k_debug_sys_manual.odt (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/or1k_debug_sys_manual.odt (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/or1k_debug_sys_manual.odt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_rtl.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_rtl.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_rtl.odg (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_rtl.odg (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_rtl.odg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag_altera.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag_altera.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag_altera.odg (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag_altera.odg (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag_altera.odg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag.odg (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag.odg (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/debug_sys_blk_diag.odg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_or1ksim.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_or1ksim.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_or1ksim.odg (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_or1ksim.odg (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_or1ksim.odg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_vpi.odg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_vpi.odg =================================================================== --- adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_vpi.odg (nonexistent) +++ adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_vpi.odg (revision 25)
adv_debug_sys/tags/ADS_RELEASE_1_2_0/Doc/src/block_diag_sim_vpi.odg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.