OpenCores
URL https://opencores.org/ocsvn/c16/c16/trunk

Subversion Repositories c16

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 24 to Rev 25
    Reverse comparison

Rev 24 → Rev 25

/trunk/vhdl/alu8.vhd
69,10 → 69,10
when "0110" => return '1';
when "0111" => return '1';
-- xor
when "1000" => return '1';
when "1001" => return '0';
when "1010" => return '0';
when "1011" => return '1';
when "1000" => return '0';
when "1001" => return '1';
when "1010" => return '1';
when "1011" => return '0';
-- not Y
when "1100" => return '1';
when "1101" => return '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.