OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 24 to Rev 25
    Reverse comparison

Rev 24 → Rev 25

/trunk/ChangeLog
3,6 → 3,7
Corrected bug in hexadecimal numbers in case statatements
Corrected bug in type conversions
Corrected bug in array length
Corrected bug in process without variables declaration
15-03-2005 Version 0.4.1
 
/trunk/src/sc2v_step1.y
40,6 → 40,8
int openedkeys = 0;
int newline = 0;
int reg_found = 0;
int reglenght = 0;
int writelenght = 0;
int array_size=0;
int integer_found=0;
int regs_end;
405,7 → 407,8
{
if (processfound)
{
fprintf (regs_file, "reg[%d:0] ", (-1 + $3));
writelenght=1;
reglenght = $3;
reg_found = 1;
}
}
434,7 → 437,8
{
if (processfound)
{
fprintf (regs_file, "reg ");
writelenght=1;
reglenght=0;
reg_found = 1;
}
}
468,15 → 472,10
if (translate == 1 && verilog == 0)
{
if (processfound)
if (reg_found)
{
if (opencorchfound)
fprintf (regs_file, "%d:0", -1 + $1);
else
fprintf (regs_file, "%d", $1);
}
else
fprintf (file, "%d", $1);
if(opencorchfound && reg_found)
fprintf (regs_file, "%d:0",$1-1);
else
fprintf (file, "%d", $1);
else if (definefound)
fprintf (FILE_DEFINES, "%d", $1);
}
542,6 → 541,14
 
if (reg_found)
{
if(writelenght){
writelenght=0;
if(reglenght==0)
fprintf (regs_file, "reg ");
else
fprintf (regs_file, "reg[%d:0] ", (-1 +reglenght));
}
regname = (char *) malloc (sizeof (char) * (strlen ((char *) $1) + 1));
regname2 = (char *) malloc (sizeof (char) * (strlen ((char *) $1) + strlen (processname)) + 1);
strcpy (regname, (char *) $1);
/trunk/examples/dummy2.h
15,6 → 15,7
 
void regs();
void fsm_proc();
void dummy_proc();
sc_signal<sc_uint<2> > state,next_state;
sc_signal< sc_uint<32> > array[12];
28,8 → 29,11
SC_METHOD(fsm_proc);
sensitive(state);
sensitive << input1;
sensitive << array[3];
sensitive << array;
sensitive(input2);
SC_METHOD(dummy_proc);
sensitive << input1;
}
};
/trunk/examples/dummy2.cpp
73,3 → 73,9
break;
}
}
 
void fsm::dummy_proc(){
 
w.write(sc_uint<1>(2));
 
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.