OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 24 to Rev 25
    Reverse comparison

Rev 24 → Rev 25

/trunk/source/fm.ioc
0,0 → 1,47
# $Id: fm.ioc,v 1.1 2008-06-26 08:00:36 arif_endro Exp $
#
# IO place
#
# Note: all signal name MUST BE in lower case,
# eventough you use capital letter on your design.
#
# Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
#
# Copyright (c) 2008 Arif E. Nugroho
 
TOP (
(IOPIN clk.0 );
)
 
LEFT (
(IOPIN fmin(7).0 );
(IOPIN fmin(6).0 );
(IOPIN fmin(5).0 );
(IOPIN fmin(4).0 );
(IOPIN fmin(3).0 );
(IOPIN fmin(2).0 );
(IOPIN fmin(1).0 );
(IOPIN fmin(0).0 );
)
 
BOTTOM (
(IOPIN reset.0 );
)
 
RIGHT (
(IOPIN dmout(11).0 );
(IOPIN dmout(10).0 );
(IOPIN dmout(9).0 );
(IOPIN dmout(8).0 );
(IOPIN dmout(7).0 );
(IOPIN dmout(6).0 );
(IOPIN dmout(5).0 );
(IOPIN dmout(4).0 );
(IOPIN dmout(3).0 );
(IOPIN dmout(2).0 );
(IOPIN dmout(1).0 );
(IOPIN dmout(0).0 );
)
 
IGNORE (
)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.