OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 246 to Rev 247
    Reverse comparison

Rev 246 → Rev 247

/t6507lp/trunk/syn/cadence/results/t6507lp.vg
0,0 → 1,4407
 
// Generated by Cadence Encounter(R) RTL Compiler v07.20-s009_1
 
module RC_CG_MOD_AUTO_t6507lp(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1473(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1474(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1475(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module t6507lp_alu(clk, reset_n, alu_enable, alu_result, alu_status,
alu_opcode, alu_a, alu_x, alu_y);
input clk, reset_n, alu_enable;
input [7:0] alu_opcode, alu_a;
output [7:0] alu_result, alu_status, alu_x, alu_y;
wire clk, reset_n, alu_enable;
wire [7:0] alu_opcode, alu_a;
wire [7:0] alu_result, alu_status, alu_x, alu_y;
wire \AH[0] , \AL[0] , \AL[1] , \AL[1]_801 , \AL[2] , \AL[2]_802 ,
\AL[3] , \AL[3]_803 ;
wire \AL[4] , \A[0] , \A[1] , \A[2] , \A[3] , \A[4] , \A[5] , \A[6] ;
wire \A[7] , \bcdh[1]_811 , \bcdh[2]_812 , \bcdh[3] , n_0, n_1, n_2,
n_3;
wire n_4, n_5, n_6, n_7, n_8, n_9, n_12, n_13;
wire n_14, n_15, n_16, n_17, n_18, n_20, n_21, n_22;
wire n_23, n_24, n_25, n_26, n_27, n_28, n_29, n_30;
wire n_31, n_32, n_33, n_34, n_35, n_36, n_37, n_38;
wire n_39, n_40, n_41, n_42, n_43, n_44, n_45, n_46;
wire n_47, n_48, n_49, n_50, n_51, n_52, n_53, n_54;
wire n_55, n_56, n_57, n_58, n_59, n_60, n_61, n_62;
wire n_63, n_64, n_65, n_66, n_67, n_68, n_69, n_70;
wire n_71, n_72, n_73, n_74, n_75, n_76, n_77, n_78;
wire n_79, n_80, n_81, n_82, n_83, n_84, n_85, n_86;
wire n_87, n_88, n_89, n_90, n_91, n_92, n_93, n_94;
wire n_95, n_96, n_97, n_98, n_99, n_100, n_101, n_102;
wire n_103, n_104, n_105, n_106, n_107, n_108, n_109, n_110;
wire n_111, n_112, n_113, n_114, n_115, n_116, n_117, n_118;
wire n_119, n_120, n_121, n_122, n_123, n_124, n_125, n_126;
wire n_127, n_128, n_129, n_130, n_131, n_132, n_133, n_134;
wire n_135, n_136, n_137, n_138, n_139, n_140, n_141, n_142;
wire n_143, n_144, n_145, n_146, n_147, n_148, n_149, n_150;
wire n_151, n_152, n_153, n_154, n_155, n_156, n_157, n_158;
wire n_159, n_160, n_161, n_162, n_163, n_164, n_165, n_166;
wire n_167, n_168, n_169, n_170, n_171, n_172, n_173, n_174;
wire n_175, n_176, n_177, n_178, n_179, n_180, n_181, n_182;
wire n_183, n_184, n_185, n_186, n_187, n_188, n_189, n_190;
wire n_191, n_192, n_193, n_194, n_195, n_196, n_197, n_198;
wire n_199, n_200, n_201, n_202, n_203, n_204, n_205, n_206;
wire n_207, n_208, n_209, n_210, n_211, n_212, n_213, n_214;
wire n_215, n_216, n_218, n_219, n_220, n_221, n_222, n_223;
wire n_224, n_225, n_226, n_227, n_228, n_229, n_230, n_231;
wire n_232, n_233, n_234, n_235, n_236, n_237, n_238, n_239;
wire n_240, n_241, n_242, n_243, n_244, n_245, n_246, n_247;
wire n_248, n_249, n_250, n_251, n_252, n_253, n_254, n_255;
wire n_256, n_257, n_258, n_259, n_260, n_261, n_262, n_263;
wire n_264, n_265, n_266, n_267, n_268, n_269, n_270, n_271;
wire n_272, n_273, n_274, n_275, n_276, n_277, n_278, n_279;
wire n_280, n_281, n_282, n_283, n_284, n_285, n_286, n_287;
wire n_288, n_289, n_290, n_291, n_292, n_293, n_294, n_295;
wire n_296, n_297, n_298, n_299, n_300, n_301, n_302, n_303;
wire n_304, n_305, n_306, n_307, n_308, n_309, n_310, n_311;
wire n_312, n_313, n_314, n_315, n_316, n_317, n_318, n_319;
wire n_320, n_321, n_322, n_323, n_324, n_325, n_326, n_327;
wire n_328, n_329, n_330, n_331, n_332, n_333, n_334, n_335;
wire n_336, n_337, n_338, n_339, n_340, n_341, n_342, n_343;
wire n_344, n_345, n_346, n_347, n_348, n_349, n_350, n_351;
wire n_352, n_353, n_354, n_355, n_356, n_357, n_358, n_359;
wire n_360, n_361, n_362, n_363, n_364, n_365, n_366, n_367;
wire n_368, n_369, n_370, n_371, n_372, n_373, n_374, n_375;
wire n_376, n_377, n_378, n_379, n_380, n_381, n_382, n_383;
wire n_384, n_385, n_386, n_387, n_388, n_389, n_390, n_391;
wire n_392, n_393, n_394, n_395, n_396, n_397, n_398, n_399;
wire n_400, n_401, n_402, n_403, n_404, n_405, n_406, n_407;
wire n_408, n_409, n_410, n_411, n_412, n_413, n_414, n_415;
wire n_416, n_417, n_418, n_419, n_420, n_421, n_422, n_423;
wire n_424, n_425, n_426, n_427, n_428, n_429, n_430, n_431;
wire n_432, n_433, n_434, n_435, n_436, n_437, n_438, n_439;
wire n_440, n_441, n_442, n_443, n_444, n_445, n_446, n_447;
wire n_448, n_449, n_450, n_451, n_452, n_453, n_454, n_455;
wire n_456, n_457, n_458, n_459, n_460, n_461, n_462, n_463;
wire n_464, n_465, n_466, n_467, n_468, n_469, n_470, n_471;
wire n_472, n_473, n_474, n_475, n_476, n_477, n_478, n_479;
wire n_480, n_481, n_482, n_483, n_484, n_485, n_486, n_487;
wire n_488, n_489, n_490, n_491, n_492, n_493, n_494, n_495;
wire n_496, n_497, n_498, n_499, n_500, n_501, n_502, n_503;
wire n_504, n_505, n_506, n_507, n_508, n_509, n_510, n_511;
wire n_512, n_513, n_514, n_515, n_516, n_517, n_518, n_519;
wire n_520, n_521, n_522, n_523, n_524, n_525, n_526, n_527;
wire n_528, n_529, n_530, n_531, n_532, n_533, n_534, n_535;
wire n_536, n_537, n_538, n_539, n_540, n_541, n_542, n_543;
wire n_544, n_545, n_546, n_547, n_548, n_549, n_550, n_551;
wire n_552, n_553, n_554, n_555, n_556, n_557, n_558, n_559;
wire n_560, n_561, n_562, n_563, n_564, n_565, n_566, n_567;
wire n_568, n_569, n_570, n_571, n_572, n_573, n_574, n_575;
wire n_576, n_577, n_578, n_579, n_580, n_581, n_582, n_583;
wire n_584, n_585, n_586, n_587, n_588, n_589, n_590, n_591;
wire n_592, n_593, n_594, n_595, n_596, n_597, n_598, n_599;
wire n_600, n_601, n_602, n_603, n_604, n_605, n_606, n_607;
wire n_608, n_609, n_610, n_611, n_612, n_613, n_614, n_615;
wire n_616, n_617, n_618, n_619, n_620, n_621, n_622, n_623;
wire n_624, n_625, n_626, n_627, n_628, n_629, n_630, n_631;
wire n_632, n_633, n_634, n_635, n_636, n_637, n_638, n_639;
wire n_640, n_641, n_642, n_643, n_644, n_645, n_646, n_647;
wire n_648, n_649, n_650, n_651, n_652, n_653, n_654, n_655;
wire n_656, n_657, n_658, n_659, n_660, n_661, n_662, n_663;
wire n_668, n_669, n_670, n_671, n_672, n_673, n_674, n_675;
wire n_676, n_677, n_678, n_679, n_680, n_681, n_682, n_683;
wire n_684, n_685, n_686, n_687, n_688, n_689, n_690, n_691;
wire n_692, n_693, n_695, n_696, n_697, n_698, n_699, n_700;
wire n_701, n_702, n_703, n_704, n_705, n_706, n_707, n_708;
wire n_709, n_710, n_711, n_712, n_713, n_714, n_715, n_716;
wire n_717, n_718, n_719, n_720, n_721, n_722, n_723, n_724;
wire n_725, n_726, n_727, n_728, n_729, n_730, n_731, n_732;
wire n_733, n_734, n_735, n_737, n_739, n_750, n_752, n_753;
wire n_754, n_755, n_757, n_758, n_761, n_764, n_765, n_766;
wire n_767, n_768, n_769, n_770, n_771, n_772, n_773, n_774;
wire rc_gclk, rc_gclk_9702, rc_gclk_9705, rc_gclk_9708, result,
\result[0]_633 , \result[0]_751 , \result[1]_634 ;
wire \result[1]_682 , \result[1]_690 , \result[1]_698 ,
\result[1]_744 , \result[1]_780 , \result[1]_788 ,
\result[2]_659 , \result[2]_667 ;
wire \result[2]_675 , \result[2]_683 , \result[2]_691 ,
\result[2]_699 , \result[2]_745 , \result[2]_753 ,
\result[2]_781 , \result[2]_789 ;
wire \result[3]_636 , \result[3]_660 , \result[3]_668 ,
\result[3]_676 , \result[3]_684 , \result[3]_692 ,
\result[3]_700 , \result[3]_746 ;
wire \result[3]_782 , \result[3]_790 , \result[4]_637 ,
\result[4]_661 , \result[4]_669 , \result[4]_677 ,
\result[4]_685 , \result[4]_693 ;
wire \result[4]_701 , \result[4]_718 , \result[4]_775 ,
\result[4]_783 , \result[4]_791 , \result[5]_662 ,
\result[5]_670 , \result[5]_678 ;
wire \result[5]_686 , \result[5]_694 , \result[5]_702 ,
\result[5]_719 , \result[5]_748 , \result[5]_756 ,
\result[5]_784 , \result[5]_792 ;
wire \result[6]_663 , \result[6]_671 , \result[6]_679 ,
\result[6]_687 , \result[6]_695 , \result[6]_703 ,
\result[6]_720 , \result[6]_749 ;
wire \result[6]_757 , \result[6]_785 , \result[6]_793 ,
\result[7]_664 , \result[7]_672 , \result[7]_680 ,
\result[7]_688 , \result[7]_696 ;
wire \result[7]_704 , \result[7]_721 , \result[7]_742 ,
\result[7]_786 , \result[7]_794 , \result[7]_5822 ,
\result[7]_5823 ;
RC_CG_MOD_AUTO_t6507lp RC_CG_HIER_INST1(.ck_in (clk), .enable
(n_767), .test (1'b0), .ck_out (rc_gclk));
RC_CG_MOD_AUTO_t6507lp_1473 RC_CG_HIER_INST2(.ck_in (clk), .enable
(n_765), .test (1'b0), .ck_out (rc_gclk_9702));
RC_CG_MOD_AUTO_t6507lp_1474 RC_CG_HIER_INST3(.ck_in (clk), .enable
(n_769), .test (1'b0), .ck_out (rc_gclk_9705));
RC_CG_MOD_AUTO_t6507lp_1475 RC_CG_HIER_INST4(.ck_in (clk), .enable
(n_768), .test (1'b0), .ck_out (rc_gclk_9708));
MU2LX1 g19239(.S (alu_enable), .IN0 (\A[6] ), .IN1 (n_650), .Q
(n_729));
MU2LX1 g19240(.S (alu_enable), .IN0 (\A[5] ), .IN1 (n_649), .Q
(n_730));
AO21LX1 g19241(.A (n_648), .B (n_707), .C (n_639), .Q (n_650));
AO222LX1 g19242(.A (alu_enable), .B (n_643), .C (n_390), .D (n_646),
.E (n_18), .F (\A[7] ), .Q (n_726));
AO221LX1 g19243(.A (n_707), .B (n_647), .C (n_717), .D (n_620), .E
(n_627), .Q (n_649));
AO322LX1 g19244(.A (n_626), .B (n_645), .C (alu_status[3]), .D
(\result[6]_720 ), .E (n_205), .F (n_634), .G (n_644), .Q
(n_648));
AO322LX1 g19245(.A (n_602), .B (n_642), .C (alu_status[3]), .D
(\result[5]_719 ), .E (n_205), .F (n_601), .G (n_644), .Q
(n_647));
AO322LX1 g19246(.A (\bcdh[3] ), .B (n_634), .C (alu_status[3]), .D
(\result[7]_721 ), .E (n_205), .F (n_641), .G (n_644), .Q
(n_646));
NA2LX1 g19247(.A (n_739), .B (n_601), .Q (n_645));
NO2LX1 g19248(.A (n_642), .B (n_205), .Q (n_644));
AO211LX1 g19249(.A (n_637), .B (n_717), .C (n_640), .D (n_638), .Q
(n_643));
INLX1 g19250(.A (n_739), .Q (n_642));
ON21LX1 g19252(.A (n_635), .B (n_634), .C (n_464), .Q (n_739));
AO222LX1 g19253(.A (alu_enable), .B (n_636), .C (n_390), .D (n_597),
.E (n_18), .F (\A[3] ), .Q (n_732));
NO2LX1 g19254(.A (\bcdh[3] ), .B (n_634), .Q (n_641));
AO222LX1 g19255(.A (alu_enable), .B (n_631), .C (n_390), .D (n_598),
.E (n_18), .F (\A[4] ), .Q (n_731));
AO221LX1 g19256(.A (n_702), .B (\result[7]_794 ), .C (n_718), .D
(\result[7]_742 ), .E (n_614), .Q (n_640));
AO221LX1 g19257(.A (n_717), .B (n_632), .C (n_702), .D
(\result[6]_793 ), .E (n_617), .Q (n_639));
AO221LX1 g19258(.A (n_703), .B (\result[7]_786 ), .C (n_704), .D
(\result[7]_696 ), .E (n_606), .Q (n_638));
EN2LX1 g19259(.A (n_633), .B (result), .Q (n_637));
AO221LX1 g19260(.A (n_717), .B (n_584), .C (n_703), .D
(\result[3]_782 ), .E (n_628), .Q (n_636));
AO222LX1 g19261(.A (n_390), .B (n_624), .C (alu_enable), .D (n_629),
.E (n_18), .F (\A[2] ), .Q (n_733));
MU2LX1 g19262(.S (n_18), .IN0 (n_630), .IN1 (\A[1] ), .Q (n_734));
INLX1 g19263(.A (\bcdh[3] ), .Q (n_635));
EN2LX1 g19264(.A (n_625), .B (n_418), .Q (\bcdh[3] ));
NO2LX1 g19265(.A (n_602), .B (n_626), .Q (n_634));
AO21LX1 g19266(.A (\bcdh[2]_812 ), .B (\bcdh[1]_811 ), .C (n_595), .Q
(n_633));
EO2LX1 g19267(.A (n_619), .B (\bcdh[2]_812 ), .Q (n_632));
EO2LX1 g19268(.A (n_618), .B (n_772), .Q (\result[7]_721 ));
EN3LX1 g19269(.A (n_621), .B (n_382), .C (\result[7]_5822 ), .Q
(result));
EO3LX1 g19270(.A (alu_x[7]), .B (alu_a[7]), .C (n_608), .Q
(\result[7]_786 ));
EO3LX1 g19271(.A (alu_y[7]), .B (alu_a[7]), .C (n_607), .Q
(\result[7]_794 ));
AO221LX1 g19272(.A (n_717), .B (\result[4]_775 ), .C (n_702), .D
(\result[4]_791 ), .E (n_623), .Q (n_631));
AO221LX1 g19273(.A (n_707), .B (n_605), .C (n_717), .D (n_587), .E
(n_616), .Q (n_630));
AO211LX1 g19274(.A (n_612), .B (n_717), .C (n_498), .D (n_599), .Q
(n_629));
AO211LX1 g19275(.A (\result[3]_790 ), .B (n_702), .C (n_495), .D
(n_615), .Q (n_628));
AO221LX1 g19276(.A (n_702), .B (\result[5]_792 ), .C (n_703), .D
(\result[5]_784 ), .E (n_613), .Q (n_627));
HAALX1 g19277(.A (n_392), .B (n_600), .S (n_626), .CO (n_625));
ON22LX1 g19278(.A (n_575), .B (n_505), .C (n_603), .D (n_488), .Q
(n_624));
AO222LX1 g19279(.A (n_390), .B (\AL[0] ), .C (alu_enable), .D
(n_610), .E (n_18), .F (\A[0] ), .Q (n_735));
AO221LX1 g19280(.A (n_703), .B (\result[4]_783 ), .C (n_718), .D
(n_494), .E (n_609), .Q (n_623));
INLX1 g19282(.A (n_622), .Q (\bcdh[2]_812 ));
HAALX1 g19283(.A (n_397), .B (n_588), .S (n_622), .CO (n_621));
HAALX1 g19284(.A (\bcdh[1]_811 ), .B (n_596), .S (n_620), .CO
(n_619));
HAALX1 g19285(.A (n_773), .B (n_585), .S (\result[6]_720 ), .CO
(n_618));
AO211LX1 g19286(.A (\result[6]_785 ), .B (n_703), .C (n_577), .D
(n_611), .Q (n_617));
AO211LX1 g19287(.A (\result[1]_780 ), .B (n_703), .C (n_460), .D
(n_591), .Q (n_616));
AO221LX1 g19288(.A (n_713), .B (\result[3]_700 ), .C (n_705), .D
(\result[3]_676 ), .E (n_592), .Q (n_615));
AO221LX1 g19289(.A (n_713), .B (\result[7]_704 ), .C (n_706), .D
(\result[7]_672 ), .E (n_590), .Q (n_614));
AO211LX1 g19290(.A (n_530), .B (\result[5]_748 ), .C (n_539), .D
(n_593), .Q (n_613));
EO2LX1 g19291(.A (\AL[2]_802 ), .B (n_586), .Q (n_612));
AO211LX1 g19292(.A (\result[6]_671 ), .B (n_706), .C (n_567), .D
(n_581), .Q (n_611));
OR8LX1 g19293(.A (n_491), .B (n_453), .C (n_439), .D (n_415), .E
(n_552), .F (n_417), .G (n_481), .H (n_403), .Q (n_610));
AO221LX1 g19294(.A (n_713), .B (\result[4]_701 ), .C (n_705), .D
(\result[4]_677 ), .E (n_594), .Q (n_609));
OA21LX1 g19295(.A (n_578), .B (n_676), .C (n_675), .Q (n_608));
OA21LX1 g19296(.A (n_579), .B (n_671), .C (n_670), .Q (n_607));
AO222LX1 g19297(.A (n_719), .B (\result[7]_688 ), .C (n_705), .D
(\result[7]_680 ), .E (n_716), .F (\A[6] ), .Q (n_606));
INLX1 g19298(.A (n_604), .Q (n_605));
HAALX1 g19299(.A (n_450), .B (n_574), .S (n_604), .CO (n_603));
INLX1 g19301(.A (n_602), .Q (n_601));
HAALX1 g19302(.A (n_370), .B (n_573), .S (n_602), .CO (n_600));
AO221LX1 g19303(.A (n_703), .B (\result[2]_781 ), .C (n_704), .D
(\result[2]_691 ), .E (n_582), .Q (n_599));
MU2ILX1 g19304(.S (n_575), .IN0 (\AH[0] ), .IN1 (n_576), .Q (n_598));
EN2LX1 g19305(.A (n_583), .B (\AL[3] ), .Q (n_597));
INLX1 g19306(.A (n_595), .Q (n_596));
NA2I1LX1 g19307(.B (alu_status[3]), .AN (n_750), .Q (n_595));
AO211LX1 g19308(.A (\result[4]_693 ), .B (n_704), .C (n_515), .D
(n_568), .Q (n_594));
AO221LX1 g19309(.A (n_705), .B (\result[5]_678 ), .C (n_706), .D
(\result[5]_670 ), .E (n_580), .Q (n_593));
AO221LX1 g19310(.A (n_719), .B (\result[3]_684 ), .C (n_720), .D
(\result[3]_660 ), .E (n_571), .Q (n_592));
AO211LX1 g19311(.A (\result[1]_690 ), .B (n_704), .C (n_532), .D
(n_566), .Q (n_591));
AO221LX1 g19312(.A (n_720), .B (\result[7]_664 ), .C (n_708), .D
(\result[7]_5822 ), .E (n_572), .Q (n_590));
INLX1 g19314(.A (n_589), .Q (\bcdh[1]_811 ));
HAALX1 g19315(.A (n_369), .B (n_564), .S (n_589), .CO (n_588));
HAALX1 g19316(.A (\AL[1]_801 ), .B (n_565), .S (n_587), .CO (n_586));
HAALX1 g19317(.A (n_556), .B (n_483), .S (\result[5]_719 ), .CO
(n_585));
EO2LX1 g19318(.A (n_521), .B (n_570), .Q (n_584));
NA2LX1 g19319(.A (n_574), .B (n_505), .Q (n_583));
AO221LX1 g19320(.A (n_719), .B (\result[2]_683 ), .C (n_720), .D
(\result[2]_659 ), .E (n_569), .Q (n_582));
AO221LX1 g19321(.A (n_719), .B (\result[6]_687 ), .C (n_720), .D
(\result[6]_663 ), .E (n_563), .Q (n_581));
AO221LX1 g19322(.A (n_720), .B (\result[5]_662 ), .C (n_719), .D
(\result[5]_686 ), .E (n_562), .Q (n_580));
AN21LX1 g19323(.A (n_561), .B (n_259), .C (n_235), .Q (n_579));
AO321LX1 g19324(.A (n_696), .B (n_360), .C (n_538), .D (n_385), .E
(n_537), .F (n_343), .Q (n_750));
AN21LX1 g19325(.A (n_560), .B (n_268), .C (n_234), .Q (n_578));
AO222LX1 g19326(.A (n_713), .B (\result[6]_703 ), .C (\result[6]_749
), .D (n_531), .E (n_704), .F (\result[6]_695 ), .Q (n_577));
MU2ILX1 g19327(.S (alu_status[3]), .IN0 (\result[4]_718 ), .IN1
(\AH[0] ), .Q (n_576));
EN2LX1 g19328(.A (n_561), .B (n_313), .Q (\result[5]_792 ));
EN2LX1 g19329(.A (n_560), .B (n_301), .Q (\result[5]_784 ));
EO2LX1 g19330(.A (n_298), .B (n_558), .Q (\result[6]_793 ));
EO2LX1 g19331(.A (n_312), .B (n_557), .Q (\result[6]_785 ));
INLX1 g19333(.A (n_575), .Q (n_574));
NA2I1LX1 g19334(.B (alu_status[3]), .AN (n_559), .Q (n_575));
NO2I1LX1 g19335(.B (n_559), .AN (\AH[0] ), .Q (n_573));
NA3I1LX1 g19336(.B (n_550), .C (n_486), .AN (n_442), .Q (n_572));
AO211LX1 g19337(.A (\result[3]_746 ), .B (n_708), .C (n_459), .D
(n_555), .Q (n_571));
ON21LX1 g19338(.A (n_490), .B (n_448), .C (n_565), .Q (n_570));
AO211LX1 g19339(.A (\result[2]_745 ), .B (n_708), .C (n_534), .D
(n_551), .Q (n_569));
AO211LX1 g19340(.A (\result[4]_685 ), .B (n_719), .C (n_517), .D
(n_553), .Q (n_568));
AO32LX1 g19341(.A (n_718), .B (n_317), .C (n_502), .D (n_705), .E
(\result[6]_679 ), .Q (n_567));
AO221LX1 g19342(.A (n_720), .B (n_318), .C (n_708), .D
(\result[1]_744 ), .E (n_549), .Q (n_566));
EO2LX1 g19343(.A (alu_a[7]), .B (n_544), .Q (\result[7]_688 ));
EO2LX1 g19344(.A (alu_a[7]), .B (n_542), .Q (\result[7]_664 ));
EO2LX1 g19345(.A (alu_x[7]), .B (n_541), .Q (\result[7]_672 ));
EO2LX1 g19346(.A (alu_x[7]), .B (n_545), .Q (\result[7]_696 ));
EO2LX1 g19347(.A (alu_y[7]), .B (n_540), .Q (\result[7]_680 ));
EO2LX1 g19348(.A (alu_y[7]), .B (n_547), .Q (\result[7]_704 ));
NO2LX1 g19349(.A (n_538), .B (n_205), .Q (n_565));
NO2LX1 g19350(.A (\AH[0] ), .B (n_538), .Q (n_564));
AO211LX1 g19351(.A (\result[6]_757 ), .B (n_709), .C (n_504), .D
(n_554), .Q (n_563));
AO211LX1 g19352(.A (\result[5]_756 ), .B (n_709), .C (n_516), .D
(n_535), .Q (n_562));
AO21LX1 g19353(.A (n_677), .B (n_282), .C (n_280), .Q (n_561));
AO21LX1 g19354(.A (n_680), .B (n_292), .C (n_272), .Q (n_560));
AN21LX1 g19355(.A (\AL[3] ), .B (n_505), .C (\AL[4] ), .Q (n_559));
AN21LX1 g19356(.A (n_677), .B (n_678), .C (n_348), .Q (n_558));
AN21LX1 g19357(.A (n_680), .B (n_681), .C (n_344), .Q (n_557));
HAALX1 g19358(.A (n_519), .B (n_457), .S (\result[4]_718 ), .CO
(n_556));
EN2LX1 g19359(.A (n_677), .B (n_307), .Q (\result[4]_791 ));
EN2LX1 g19360(.A (n_680), .B (n_306), .Q (\result[4]_783 ));
AO221LX1 g19361(.A (n_714), .B (\A[4] ), .C (alu_a[4]), .D (n_701),
.E (n_536), .Q (n_555));
ON211LX1 g19362(.A (n_413), .B (n_687), .C (n_529), .D (n_467), .Q
(n_554));
AO211LX1 g19363(.A (n_711), .B (\result[4]_637 ), .C (n_458), .D
(n_525), .Q (n_553));
AO221LX1 g19364(.A (n_710), .B (alu_y[0]), .C (alu_a[0]), .D (n_715),
.E (n_528), .Q (n_552));
AO221LX1 g19365(.A (n_712), .B (alu_x[2]), .C (alu_a[3]), .D (n_701),
.E (n_522), .Q (n_551));
AN221LX1 g19366(.A (alu_a[6]), .B (n_700), .C (alu_y[7]), .D (n_710),
.E (n_527), .Q (n_550));
AO221LX1 g19367(.A (n_712), .B (alu_x[1]), .C (alu_a[2]), .D (n_701),
.E (n_524), .Q (n_549));
INLX1 g19368(.A (n_548), .Q (\result[6]_703 ));
HAALX1 g19369(.A (n_215), .B (n_511), .S (n_548), .CO (n_547));
INLX1 g19370(.A (n_546), .Q (\result[6]_695 ));
HAALX1 g19371(.A (n_220), .B (n_513), .S (n_546), .CO (n_545));
INLX1 g19372(.A (n_543), .Q (\result[6]_687 ));
INLX1 g19373(.A (n_544), .Q (n_761));
HAALX1 g19374(.A (n_223), .B (n_509), .S (n_543), .CO (n_544));
HAALX1 g19375(.A (alu_a[6]), .B (n_506), .S (\result[6]_663 ), .CO
(n_542));
HAALX1 g19376(.A (alu_x[6]), .B (n_507), .S (\result[6]_671 ), .CO
(n_541));
HAALX1 g19377(.A (alu_y[6]), .B (n_508), .S (\result[6]_679 ), .CO
(n_540));
AO322LX1 g19378(.A (n_718), .B (n_315), .C (n_500), .D (n_713), .E
(\result[5]_702 ), .F (n_704), .G (\result[5]_694 ), .Q (n_539));
EO2LX1 g19379(.A (\result[7]_5822 ), .B (n_533), .Q (\result[7]_742
));
EO2LX1 g19380(.A (n_520), .B (n_494), .Q (\result[4]_775 ));
INLX1 g19382(.A (n_537), .Q (n_538));
EN2LX1 g19384(.A (n_520), .B (n_662), .Q (n_537));
EO2LX1 g19385(.A (n_737), .B (n_519), .Q (\AL[4] ));
AO221LX1 g19386(.A (n_721), .B (\A[3] ), .C (alu_a[2]), .D (n_700),
.E (n_526), .Q (n_536));
AO221LX1 g19387(.A (n_710), .B (alu_y[5]), .C (n_712), .D (alu_x[5]),
.E (n_523), .Q (n_535));
FAALX1 g19388(.A (n_470), .B (n_221), .CI (alu_x[3]), .S
(\result[3]_782 ), .CO (n_680));
FAALX1 g19389(.A (n_478), .B (n_221), .CI (alu_y[3]), .S
(\result[3]_790 ), .CO (n_677));
EN2LX1 g19390(.A (n_518), .B (\result[7]_5822 ), .Q (n_772));
AO211LX1 g19391(.A (alu_y[2]), .B (n_710), .C (n_443), .D (n_496), .Q
(n_534));
OA31LX1 g19392(.A (n_238), .B (n_289), .C (n_500), .D (n_347), .Q
(n_533));
AO211LX1 g19393(.A (\result[1]_682 ), .B (n_719), .C (n_440), .D
(n_503), .Q (n_532));
AO21LX1 g19394(.A (n_501), .B (n_718), .C (n_708), .Q (n_531));
AO21LX1 g19395(.A (n_499), .B (n_718), .C (n_708), .Q (n_530));
AN221LX1 g19396(.A (n_714), .B (\A[7] ), .C (\A[5] ), .D (n_716), .E
(n_497), .Q (n_529));
AO221LX1 g19397(.A (n_721), .B (\A[0] ), .C (n_436), .D
(alu_status[0]), .E (n_497), .Q (n_528));
AO221LX1 g19398(.A (n_721), .B (\A[7] ), .C (n_753), .D
(alu_status[0]), .E (n_497), .Q (n_527));
AO221LX1 g19399(.A (alu_a[3]), .B (n_715), .C (n_716), .D (\A[2] ),
.E (n_497), .Q (n_526));
AO221LX1 g19400(.A (n_721), .B (\A[4] ), .C (alu_a[3]), .D (n_700),
.E (n_497), .Q (n_525));
AO221LX1 g19401(.A (alu_a[0]), .B (n_700), .C (n_721), .D (\A[1] ),
.E (n_497), .Q (n_524));
AO221LX1 g19402(.A (alu_a[6]), .B (n_701), .C (n_716), .D (\A[4] ),
.E (n_497), .Q (n_523));
AO221LX1 g19403(.A (alu_a[1]), .B (n_700), .C (n_721), .D (\A[2] ),
.E (n_497), .Q (n_522));
INLX1 g19404(.A (n_521), .Q (\AL[3]_803 ));
HAALX1 g19405(.A (n_489), .B (n_465), .S (n_521), .CO (n_520));
INLX1 g19406(.A (n_519), .Q (n_771));
HAALX1 g19408(.A (n_487), .B (n_419), .S (\AL[3] ), .CO (n_519));
AN21LX1 g19409(.A (n_492), .B (\result[6]_757 ), .C (n_258), .Q
(n_518));
OA31LX1 g19410(.A (n_774), .B (n_758), .C (n_770), .D (alu_enable),
.Q (n_765));
ON211LX1 g19411(.A (n_661), .B (n_364), .C (n_485), .D (n_445), .Q
(n_517));
ON211LX1 g19412(.A (n_413), .B (n_685), .C (n_484), .D (n_468), .Q
(n_516));
AO222LX1 g19413(.A (n_720), .B (\result[4]_661 ), .C (n_706), .D
(\result[4]_669 ), .E (n_708), .F (\AH[0] ), .Q (n_515));
INLX1 g19414(.A (n_514), .Q (\result[5]_694 ));
HAALX1 g19415(.A (n_216), .B (n_476), .S (n_514), .CO (n_513));
INLX1 g19416(.A (n_512), .Q (\result[5]_702 ));
HAALX1 g19417(.A (n_206), .B (n_479), .S (n_512), .CO (n_511));
INLX1 g19418(.A (n_510), .Q (\result[5]_686 ));
HAALX1 g19419(.A (n_218), .B (n_474), .S (n_510), .CO (n_509));
HAALX1 g19420(.A (alu_y[5]), .B (n_472), .S (\result[5]_678 ), .CO
(n_508));
HAALX1 g19421(.A (alu_x[5]), .B (n_473), .S (\result[5]_670 ), .CO
(n_507));
HAALX1 g19422(.A (alu_a[5]), .B (n_471), .S (\result[5]_662 ), .CO
(n_506));
AND2LX1 g19423(.A (n_770), .B (alu_enable), .Q (n_766));
AND2LX1 g19424(.A (n_483), .B (n_457), .Q (n_660));
NA2LX1 g19425(.A (n_488), .B (n_450), .Q (n_505));
ON21LX1 g19426(.A (n_416), .B (n_18), .C (n_493), .Q (n_768));
ON21LX1 g19427(.A (n_435), .B (n_18), .C (n_493), .Q (n_767));
AO221LX1 g19428(.A (alu_a[6]), .B (n_715), .C (alu_a[7]), .D (n_701),
.E (n_446), .Q (n_504));
AO221LX1 g19429(.A (n_710), .B (alu_y[1]), .C (alu_a[1]), .D (n_715),
.E (n_444), .Q (n_503));
ON21LX1 g19430(.A (n_432), .B (n_18), .C (n_493), .Q (n_769));
INLX1 g19431(.A (n_501), .Q (n_502));
AO21LX1 g19432(.A (n_662), .B (n_699), .C (n_345), .Q (n_501));
INLX1 g19433(.A (n_499), .Q (n_500));
AO21LX1 g19435(.A (n_662), .B (n_287), .C (n_260), .Q (n_499));
AO211LX1 g19436(.A (\result[2]_789 ), .B (n_702), .C (n_422), .D
(n_469), .Q (n_498));
AO332LX1 g19437(.A (n_381), .B (n_401), .C (n_463), .D (n_243), .E
(n_327), .F (n_387), .G (n_329), .H (n_463), .Q (n_497));
AO222LX1 g19438(.A (alu_a[2]), .B (n_715), .C (n_714), .D (\A[3] ),
.E (n_716), .F (\A[1] ), .Q (n_496));
AO222LX1 g19439(.A (n_704), .B (\result[3]_692 ), .C (n_718), .D
(n_466), .E (n_706), .F (\result[3]_668 ), .Q (n_495));
EN2LX1 g19440(.A (n_662), .B (\AH[0] ), .Q (n_494));
NA2LX1 g19441(.A (n_727), .B (alu_enable), .Q (n_493));
OR2LX1 g19442(.A (n_727), .B (n_658), .Q (n_770));
ON21LX1 g19443(.A (n_462), .B (n_247), .C (n_685), .Q (n_492));
ON21LX1 g19444(.A (n_663), .B (n_367), .C (n_482), .Q (n_491));
INLX1 g19445(.A (n_490), .Q (\AL[2]_802 ));
HAALX1 g19447(.A (n_447), .B (n_438), .S (n_490), .CO (n_489));
INLX1 g19449(.A (\AL[2] ), .Q (n_488));
HAALX1 g19450(.A (n_394), .B (n_449), .S (\AL[2] ), .CO (n_487));
AN22LX1 g19451(.A (n_715), .B (alu_a[7]), .C (alu_x[7]), .D (n_712),
.Q (n_486));
AN22LX1 g19452(.A (n_715), .B (alu_a[4]), .C (alu_y[4]), .D (n_710),
.Q (n_485));
AN22LX1 g19453(.A (n_715), .B (alu_a[5]), .C (\A[6] ), .D (n_714), .Q
(n_484));
EO2LX1 g19454(.A (n_317), .B (n_461), .Q (n_773));
EO2LX1 g19455(.A (n_315), .B (n_462), .Q (n_483));
AO21LX1 g19456(.A (n_454), .B (n_262), .C (n_233), .Q (n_662));
AN221LX1 g19457(.A (n_705), .B (n_209), .C (n_224), .D (n_704), .E
(n_452), .Q (n_482));
AO32X2 g19458(.A (n_249), .B (n_400), .C (n_455), .D (n_433), .E
(n_455), .Q (n_727));
AO222LX1 g19459(.A (alu_a[1]), .B (n_701), .C (n_709), .D
(\result[0]_751 ), .E (n_229), .F (n_720), .Q (n_481));
INLX1 g19460(.A (n_480), .Q (\result[4]_701 ));
HAALX1 g19461(.A (n_222), .B (n_427), .S (n_480), .CO (n_479));
FAALX1 g19462(.A (n_407), .B (n_227), .CI (alu_y[2]), .S
(\result[2]_789 ), .CO (n_478));
INLX1 g19463(.A (n_477), .Q (\result[4]_693 ));
HAALX1 g19464(.A (n_211), .B (n_425), .S (n_477), .CO (n_476));
INLX1 g19465(.A (n_475), .Q (\result[4]_685 ));
HAALX1 g19466(.A (n_232), .B (n_423), .S (n_475), .CO (n_474));
HAALX1 g19467(.A (alu_x[4]), .B (n_431), .S (\result[4]_669 ), .CO
(n_473));
HAALX1 g19468(.A (alu_y[4]), .B (n_430), .S (\result[4]_677 ), .CO
(n_472));
HAALX1 g19469(.A (alu_a[4]), .B (n_429), .S (\result[4]_661 ), .CO
(n_471));
FAALX1 g19470(.A (n_408), .B (n_227), .CI (alu_x[2]), .S
(\result[2]_781 ), .CO (n_470));
AO22LX1 g19471(.A (n_437), .B (n_718), .C (\result[2]_699 ), .D
(n_713), .Q (n_469));
AN22LX1 g19472(.A (\A[5] ), .B (n_721), .C (n_700), .D (alu_a[4]), .Q
(n_468));
AN22LX1 g19473(.A (\A[6] ), .B (n_721), .C (n_700), .D (alu_a[5]), .Q
(n_467));
INLX1 g19474(.A (n_465), .Q (n_466));
EO2LX1 g19475(.A (\result[3]_746 ), .B (n_454), .Q (n_465));
EO2LX1 g19476(.A (n_691), .B (n_456), .Q (n_464));
ON321LX1 g19477(.A (alu_opcode[1]), .B (n_290), .C (alu_opcode[2]),
.D (n_309), .E (n_328), .F (n_451), .Q (n_463));
AN21LX1 g19478(.A (n_737), .B (n_279), .C (\result[4]_637 ), .Q
(n_462));
AN21LX1 g19479(.A (n_737), .B (n_692), .C (n_352), .Q (n_461));
AO211LX1 g19480(.A (\result[1]_788 ), .B (n_702), .C (n_420), .D
(n_421), .Q (n_460));
AO221LX1 g19481(.A (n_710), .B (alu_y[3]), .C (n_712), .D (alu_x[3]),
.E (n_441), .Q (n_459));
ON22LX1 g19482(.A (n_434), .B (n_270), .C (n_305), .D (n_297), .Q
(n_715));
AO22LX1 g19483(.A (n_701), .B (alu_a[5]), .C (alu_x[4]), .D (n_712),
.Q (n_458));
EO2LX1 g19484(.A (\AH[0] ), .B (n_737), .Q (n_457));
NO2I1LX1 g19485(.B (n_418), .AN (n_392), .Q (n_456));
AN211LX1 g19486(.A (n_243), .B (n_274), .C (n_409), .D (n_398), .Q
(n_721));
AO22LX1 g19487(.A (n_411), .B (n_412), .C (n_327), .D (n_320), .Q
(n_455));
ON21LX1 g19488(.A (n_410), .B (n_241), .C (n_296), .Q (n_454));
AO22LX1 g19489(.A (alu_x[0]), .B (n_712), .C (n_209), .D (n_713), .Q
(n_453));
AO22LX1 g19490(.A (\A[1] ), .B (n_714), .C (n_229), .D (n_719), .Q
(n_452));
AO222LX1 g19491(.A (n_269), .B (n_402), .C (n_251), .D (n_414), .E
(alu_opcode[0]), .F (n_249), .Q (n_451));
INLX1 g19493(.A (\AL[1] ), .Q (n_450));
HAALX1 g19494(.A (n_399), .B (n_393), .S (\AL[1] ), .CO (n_449));
INLX1 g19495(.A (n_448), .Q (\AL[1]_801 ));
HAALX1 g19497(.A (n_366), .B (n_396), .S (n_448), .CO (n_447));
AO22LX1 g19498(.A (alu_y[6]), .B (n_710), .C (alu_x[6]), .D (n_712),
.Q (n_446));
AN22LX1 g19499(.A (\A[3] ), .B (n_716), .C (\A[5] ), .D (n_714), .Q
(n_445));
AO22LX1 g19500(.A (\A[0] ), .B (n_716), .C (\A[2] ), .D (n_714), .Q
(n_444));
ON22LX1 g19501(.A (n_413), .B (n_764), .C (n_275), .D (n_364), .Q
(n_443));
ON22LX1 g19502(.A (n_413), .B (n_686), .C (n_278), .D (n_364), .Q
(n_442));
ON22LX1 g19503(.A (n_413), .B (n_267), .C (n_690), .D (n_364), .Q
(n_441));
ON22LX1 g19504(.A (n_413), .B (n_271), .C (n_689), .D (n_364), .Q
(n_440));
AO22LX1 g19505(.A (n_711), .B (\result[0]_633 ), .C (n_224), .D
(n_706), .Q (n_439));
INLX1 g19506(.A (n_437), .Q (n_438));
EO2LX1 g19507(.A (\result[2]_745 ), .B (n_410), .Q (n_437));
OR2LX1 g19508(.A (n_652), .B (n_651), .Q (n_436));
OR2LX1 g19510(.A (n_722), .B (n_653), .Q (n_753));
OR2LX1 g19511(.A (n_651), .B (n_655), .Q (n_700));
NO2LX1 g19512(.A (n_757), .B (n_758), .Q (n_435));
OR2LX1 g19513(.A (n_653), .B (n_654), .Q (n_701));
AN21LX1 g19514(.A (n_404), .B (n_311), .C (n_386), .Q (n_434));
AO21LX1 g19515(.A (n_395), .B (n_342), .C (alu_opcode[1]), .Q
(n_433));
INLX1 g19516(.A (n_752), .Q (n_432));
AO21LX1 g19517(.A (n_383), .B (alu_opcode[1]), .C (n_755), .Q
(n_752));
ON21LX1 g19518(.A (n_406), .B (n_690), .C (n_267), .Q (n_737));
OA21LX1 g19519(.A (n_405), .B (n_278), .C (n_686), .Q (n_691));
HAALX1 g19520(.A (alu_x[3]), .B (n_377), .S (\result[3]_668 ), .CO
(n_431));
HAALX1 g19521(.A (alu_y[3]), .B (n_374), .S (\result[3]_676 ), .CO
(n_430));
HAALX1 g19522(.A (alu_a[3]), .B (n_378), .S (\result[3]_660 ), .CO
(n_429));
INLX1 g19523(.A (n_428), .Q (\result[3]_700 ));
HAALX1 g19524(.A (n_213), .B (n_379), .S (n_428), .CO (n_427));
INLX1 g19525(.A (n_426), .Q (\result[3]_692 ));
HAALX1 g19526(.A (n_226), .B (n_375), .S (n_426), .CO (n_425));
INLX1 g19527(.A (n_424), .Q (\result[3]_684 ));
HAALX1 g19528(.A (n_221), .B (n_372), .S (n_424), .CO (n_423));
AO22LX1 g19529(.A (\result[2]_675 ), .B (n_705), .C (\result[2]_667
), .D (n_706), .Q (n_422));
ON22LX1 g19530(.A (n_396), .B (n_365), .C (\result[1]_690 ), .D
(n_362), .Q (n_421));
MU2LX1 g19531(.S (\result[1]_698 ), .IN0 (n_705), .IN1 (n_713), .Q
(n_420));
EN2LX1 g19532(.A (n_406), .B (\result[3]_746 ), .Q (n_419));
EO2LX1 g19533(.A (\result[7]_5822 ), .B (n_405), .Q (n_418));
OR2LX1 g19534(.A (n_722), .B (n_723), .Q (n_714));
NO2I1LX1 g19535(.B (\AL[0] ), .AN (n_717), .Q (n_417));
NO2LX1 g19536(.A (n_659), .B (n_754), .Q (n_416));
NO2I1LX1 g19537(.B (n_672), .AN (n_702), .Q (n_415));
OR2LX1 g19538(.A (n_652), .B (n_656), .Q (n_716));
AN32LX1 g19539(.A (n_276), .B (n_285), .C (n_368), .D (n_327), .E
(n_389), .Q (n_758));
OA211LX1 g19540(.A (n_331), .B (n_293), .C (n_309), .D (n_245), .Q
(n_712));
ON211LX1 g19541(.A (n_332), .B (alu_opcode[3]), .C (n_257), .D
(alu_opcode[7]), .Q (n_414));
NO4I3LX1 g19542(.D (alu_opcode[5]), .AN (n_338), .BN (n_236), .CN
(alu_opcode[6]), .Q (n_654));
NO3I2LX1 g19543(.C (alu_opcode[6]), .AN (n_386), .BN (n_236), .Q
(n_651));
INLX1 g19549(.A (n_711), .Q (n_413));
AO21LX1 g19550(.A (n_316), .B (n_291), .C (n_657), .Q (n_711));
NO3I1LX1 g19551(.B (n_398), .C (n_324), .AN (n_243), .Q (n_774));
AND3LX1 g19552(.A (n_386), .B (n_236), .C (alu_opcode[6]), .Q
(n_653));
AN211LX1 g19553(.A (n_353), .B (n_363), .C (n_274), .D (n_384), .Q
(n_710));
AO32LX1 g19554(.A (n_269), .B (alu_opcode[3]), .C (n_339), .D
(n_276), .E (n_349), .Q (n_412));
AN221LX1 g19555(.A (n_208), .B (n_359), .C (n_346), .D
(alu_opcode[6]), .E (n_243), .Q (n_755));
ON322LX1 g19556(.A (alu_opcode[0]), .B (n_293), .C (n_358), .D
(n_210), .E (n_207), .F (n_294), .G (n_290), .Q (n_411));
AN221LX1 g19557(.A (n_266), .B (\A[1] ), .C (\A[0] ), .D (n_334), .E
(n_263), .Q (n_410));
ON221LX1 g19558(.A (alu_opcode[5]), .B (n_243), .C (alu_opcode[7]),
.D (n_325), .E (n_294), .Q (n_409));
NO2LX1 g19559(.A (n_343), .B (n_385), .Q (n_683));
NO2LX1 g19560(.A (n_391), .B (n_299), .Q (n_705));
NO2LX1 g19561(.A (n_388), .B (n_299), .Q (n_704));
ON211LX1 g19562(.A (n_224), .B (alu_a[1]), .C (n_255), .D (n_355), .Q
(n_408));
NO2LX1 g19563(.A (n_388), .B (n_305), .Q (n_723));
NO2LX1 g19564(.A (n_391), .B (n_314), .Q (n_702));
NO2LX1 g19565(.A (n_725), .B (n_371), .Q (n_754));
ON211LX1 g19566(.A (n_209), .B (alu_a[1]), .C (n_283), .D (n_351), .Q
(n_407));
OA21LX1 g19567(.A (n_354), .B (n_275), .C (n_764), .Q (n_406));
AN21LX1 g19568(.A (n_352), .B (\result[6]_757 ), .C (n_258), .Q
(n_405));
ON22LX1 g19569(.A (n_304), .B (n_327), .C (n_350), .D
(alu_opcode[3]), .Q (n_404));
AN21LX1 g19570(.A (n_365), .B (n_361), .C (n_698), .Q (n_403));
ON21LX1 g19571(.A (n_332), .B (n_251), .C (alu_opcode[7]), .Q
(n_402));
NO3I2LX1 g19572(.C (n_294), .AN (n_386), .BN (alu_opcode[1]), .Q
(n_720));
NO3I1LX1 g19573(.B (n_388), .C (n_240), .AN (n_724), .Q (n_656));
NO3I1LX1 g19574(.B (n_286), .C (n_391), .AN (n_724), .Q (n_713));
AND3LX1 g19575(.A (n_257), .B (n_338), .C (n_236), .Q (n_655));
AND3LX1 g19576(.A (n_338), .B (n_270), .C (alu_opcode[1]), .Q
(n_658));
NO3I2LX1 g19577(.C (n_294), .AN (n_338), .BN (n_245), .Q (n_719));
NO3I1LX1 g19578(.B (n_297), .C (alu_opcode[7]), .AN (n_340), .Q
(n_657));
OA32LX1 g19579(.A (alu_opcode[1]), .B (n_214), .C (n_322), .D
(n_207), .E (n_356), .Q (n_401));
AO221LX1 g19580(.A (n_308), .B (n_341), .C (alu_opcode[4]), .D
(n_214), .E (n_725), .Q (n_400));
HAALX1 g19581(.A (n_300), .B (alu_status[0]), .S (\AL[0] ), .CO
(n_399));
AN22LX1 g19582(.A (n_248), .B (n_330), .C (n_303), .D
(alu_opcode[0]), .Q (n_398));
EO2LX1 g19583(.A (\result[6]_749 ), .B (n_360), .Q (n_397));
EO2LX1 g19584(.A (n_253), .B (n_335), .Q (n_396));
EN2LX1 g19585(.A (n_332), .B (alu_opcode[5]), .Q (n_395));
EN2LX1 g19586(.A (n_354), .B (\result[2]_745 ), .Q (n_394));
EO2LX1 g19587(.A (\result[0]_633 ), .B (\result[1]_744 ), .Q (n_393));
EO2LX1 g19588(.A (n_352), .B (\result[6]_749 ), .Q (n_392));
EO3LX1 g19589(.A (alu_y[1]), .B (alu_a[1]), .C (n_326), .Q
(\result[1]_788 ));
EO3LX1 g19590(.A (alu_x[1]), .B (alu_a[1]), .C (n_336), .Q
(\result[1]_780 ));
NA2I1LX1 g19591(.B (n_330), .AN (n_274), .Q (n_391));
NO2I1LX1 g19592(.B (n_18), .AN (n_707), .Q (n_390));
NA2LX1 g19593(.A (n_325), .B (alu_opcode[5]), .Q (n_389));
NA2LX1 g19594(.A (n_330), .B (n_245), .Q (n_388));
NO2LX1 g19595(.A (alu_opcode[1]), .B (n_363), .Q (n_659));
OR2LX1 g19596(.A (n_341), .B (alu_opcode[0]), .Q (n_387));
NO2I1LX1 g19597(.B (n_357), .AN (n_724), .Q (n_652));
NO2I1LX1 g19598(.B (n_357), .AN (n_695), .Q (n_722));
AND2LX1 g19599(.A (n_338), .B (alu_opcode[5]), .Q (n_386));
AND2LX1 g19600(.A (n_345), .B (n_696), .Q (n_385));
AN21LX1 g19601(.A (n_725), .B (n_309), .C (n_264), .Q (n_384));
NO3I2LX1 g19602(.C (n_243), .AN (n_323), .BN (n_724), .Q (n_757));
ON21LX1 g19603(.A (n_256), .B (alu_opcode[0]), .C (n_363), .Q
(n_383));
ON21LX1 g19604(.A (n_321), .B (n_238), .C (n_347), .Q (n_382));
AO221LX1 g19605(.A (n_207), .B (n_322), .C (n_214), .D (n_273), .E
(alu_opcode[6]), .Q (n_381));
INLX1 g19606(.A (n_380), .Q (\result[2]_699 ));
HAALX1 g19607(.A (n_204), .B (n_281), .S (n_380), .CO (n_379));
HAALX1 g19608(.A (alu_a[2]), .B (n_265), .S (\result[2]_659 ), .CO
(n_378));
HAALX1 g19609(.A (alu_x[2]), .B (n_254), .S (\result[2]_667 ), .CO
(n_377));
INLX1 g19610(.A (n_376), .Q (\result[2]_691 ));
HAALX1 g19611(.A (n_212), .B (n_244), .S (n_376), .CO (n_375));
HAALX1 g19612(.A (alu_y[2]), .B (n_284), .S (\result[2]_675 ), .CO
(n_374));
INLX1 g19613(.A (n_373), .Q (\result[2]_683 ));
HAALX1 g19614(.A (n_227), .B (n_263), .S (n_373), .CO (n_372));
AN32LX1 g19615(.A (n_273), .B (n_242), .C (n_248), .D (n_291), .E
(n_309), .Q (n_371));
EO2LX1 g19616(.A (\result[4]_637 ), .B (\result[5]_748 ), .Q (n_370));
EO2LX1 g19617(.A (n_287), .B (\result[5]_748 ), .Q (n_369));
OR2LX1 g19618(.A (n_303), .B (alu_opcode[7]), .Q (n_368));
INLX1 g19620(.A (n_703), .Q (n_367));
NO2LX1 g19623(.A (n_297), .B (n_314), .Q (n_703));
AND2LX1 g19624(.A (n_319), .B (n_291), .Q (n_717));
NO2LX1 g19625(.A (n_300), .B (alu_status[0]), .Q (n_366));
INLX1 g19629(.A (n_718), .Q (n_365));
NO2I1LX1 g19631(.B (n_274), .AN (n_319), .Q (n_718));
INLX1 g19634(.A (n_364), .Q (n_709));
NA2LX1 g19636(.A (n_316), .B (n_273), .Q (n_364));
NO2I1LX1 g19637(.B (n_310), .AN (n_291), .Q (n_707));
NA2LX1 g19638(.A (n_309), .B (alu_opcode[2]), .Q (n_363));
INLX1 g19641(.A (n_706), .Q (n_362));
NO2LX1 g19643(.A (n_297), .B (n_299), .Q (n_706));
INLX1 g19644(.A (n_708), .Q (n_361));
NO2LX1 g19648(.A (n_274), .B (n_310), .Q (n_708));
NA2LX1 g19649(.A (n_321), .B (n_237), .Q (n_360));
AO21LX1 g19650(.A (n_725), .B (n_207), .C (n_239), .Q (n_359));
AN211LX1 g19651(.A (n_207), .B (alu_opcode[4]), .C (n_230), .D
(n_214), .Q (n_358));
NA3I2LX1 g19652(.C (alu_opcode[1]), .AN (n_308), .BN (n_290), .Q
(n_357));
AN21LX1 g19653(.A (alu_opcode[6]), .B (n_239), .C (n_236), .Q
(n_356));
AN21LX1 g19654(.A (alu_x[1]), .B (n_266), .C (n_263), .Q (n_355));
AN21LX1 g19655(.A (\result[0]_633 ), .B (n_277), .C (\result[1]_634
), .Q (n_354));
NA3LX1 g19656(.A (alu_opcode[3]), .B (n_725), .C (n_309), .Q (n_353));
ON21LX1 g19657(.A (n_252), .B (n_247), .C (n_685), .Q (n_352));
AN21LX1 g19658(.A (alu_y[1]), .B (n_266), .C (n_263), .Q (n_351));
OA21LX1 g19659(.A (n_293), .B (alu_opcode[4]), .C (n_210), .Q
(n_350));
ON21LX1 g19660(.A (n_724), .B (alu_opcode[2]), .C (n_240), .Q
(n_349));
INLX1 g19661(.A (n_348), .Q (n_679));
AO21LX1 g19662(.A (n_280), .B (n_259), .C (n_235), .Q (n_348));
OA21LX1 g19663(.A (n_237), .B (n_238), .C (n_246), .Q (n_347));
ON21LX1 g19664(.A (n_291), .B (n_245), .C (n_302), .Q (n_346));
AN21LX1 g19665(.A (n_237), .B (n_261), .C (n_289), .Q (n_345));
INLX1 g19666(.A (n_344), .Q (n_682));
AO21LX1 g19667(.A (n_272), .B (n_268), .C (n_234), .Q (n_344));
AN21LX1 g19668(.A (n_246), .B (n_250), .C (n_288), .Q (n_343));
OA211LX1 g19669(.A (n_724), .B (n_214), .C (n_295), .D (n_256), .Q
(n_342));
HAALX1 g19670(.A (n_208), .B (alu_opcode[2]), .S (n_341), .CO
(n_340));
INLX1 g19671(.A (n_337), .Q (n_339));
HAALX1 g19672(.A (n_210), .B (alu_opcode[2]), .S (n_337), .CO
(n_338));
HAALX1 g19673(.A (alu_a[0]), .B (n_224), .S (n_663), .CO (n_336));
INLX1 g19676(.A (n_335), .Q (\result[1]_744 ));
INLX1 g19677(.A (n_333), .Q (n_334));
HAALX1 g19678(.A (alu_a[1]), .B (n_231), .S (n_335), .CO (n_333));
HAALX1 g19679(.A (n_219), .B (n_207), .S (n_331), .CO (n_332));
HAALX1 g19680(.A (n_228), .B (n_210), .S (n_329), .CO (n_330));
INLX1 g19683(.A (n_328), .Q (n_327));
HAALX1 g19685(.A (n_225), .B (n_210), .S (n_328), .CO (n_697));
HAALX1 g19686(.A (alu_a[0]), .B (n_209), .S (n_672), .CO (n_326));
HAALX1 g19687(.A (alu_opcode[6]), .B (n_210), .S (n_324), .CO
(n_325));
AO32LX1 g19688(.A (n_228), .B (n_245), .C (n_219), .D (n_273), .E
(n_725), .Q (n_323));
NA2I1LX1 g19689(.B (n_262), .AN (n_233), .Q (\result[3]_746 ));
AND2LX1 g19690(.A (n_282), .B (n_259), .Q (n_678));
OR2LX1 g19691(.A (n_257), .B (n_236), .Q (n_322));
INLX1 g19692(.A (n_321), .Q (n_699));
NA2I1LX1 g19694(.B (n_287), .AN (n_289), .Q (n_321));
NA2LX1 g19695(.A (n_242), .B (n_228), .Q (n_320));
NO2LX1 g19696(.A (n_288), .B (n_238), .Q (n_696));
NO2LX1 g19697(.A (n_294), .B (n_210), .Q (n_319));
INLX1 g19698(.A (\result[1]_682 ), .Q (n_318));
NA2I1LX1 g19699(.B (n_266), .AN (n_263), .Q (\result[1]_682 ));
INLX1 g19700(.A (\result[6]_749 ), .Q (n_317));
NA2I1LX1 g19702(.B (n_246), .AN (n_238), .Q (\result[6]_749 ));
NO2LX1 g19703(.A (alu_opcode[6]), .B (n_276), .Q (n_316));
NA2I1LX1 g19704(.B (n_296), .AN (n_241), .Q (\result[2]_745 ));
INLX1 g19705(.A (\result[5]_748 ), .Q (n_315));
NA2I1LX1 g19707(.B (n_237), .AN (n_289), .Q (\result[5]_748 ));
NA2I1LX1 g19708(.B (n_249), .AN (n_294), .Q (n_314));
NA2I1LX1 g19709(.B (n_259), .AN (n_235), .Q (n_313));
NA2I1LX1 g19710(.B (n_675), .AN (n_676), .Q (n_312));
AND2LX1 g19711(.A (\result[6]_757 ), .B (\result[7]_5823 ), .Q
(n_684));
NA2I1LX1 g19712(.B (n_290), .AN (n_291), .Q (n_311));
NA2I1LX1 g19713(.B (alu_opcode[6]), .AN (n_276), .Q (n_310));
NA2I1LX1 g19714(.B (n_250), .AN (n_288), .Q (\result[7]_5822 ));
NA2I1LX1 g19715(.B (n_255), .AN (n_244), .Q (\result[1]_690 ));
NO2LX1 g19716(.A (alu_opcode[0]), .B (n_270), .Q (n_309));
NA2I1LX1 g19717(.B (n_228), .AN (n_240), .Q (n_308));
OR2LX1 g19718(.A (n_281), .B (n_284), .Q (\result[1]_698 ));
NA2LX1 g19719(.A (n_287), .B (n_261), .Q (\AH[0] ));
NA2I1LX1 g19720(.B (n_282), .AN (n_280), .Q (n_307));
NA2I1LX1 g19721(.B (n_292), .AN (n_272), .Q (n_306));
NA2I1LX1 g19722(.B (n_695), .AN (n_240), .Q (n_305));
AND2LX1 g19723(.A (n_268), .B (n_292), .Q (n_681));
AND2LX1 g19724(.A (n_264), .B (n_210), .Q (n_304));
INLX1 g19725(.A (n_303), .Q (n_302));
NA2LX1 g19726(.A (n_293), .B (n_219), .Q (n_303));
NO2LX1 g19727(.A (n_247), .B (n_661), .Q (n_692));
NA2LX1 g19728(.A (n_285), .B (alu_opcode[7]), .Q (n_728));
NA2I1LX1 g19729(.B (n_268), .AN (n_234), .Q (n_301));
INLX1 g19731(.A (n_698), .Q (n_300));
NA2I1LX1 g19732(.B (\result[0]_751 ), .AN (\result[0]_633 ), .Q
(n_698));
OR2LX1 g19733(.A (n_286), .B (n_295), .Q (n_299));
NA2I1LX1 g19734(.B (n_670), .AN (n_671), .Q (n_298));
OR3LX1 g19735(.A (n_290), .B (alu_opcode[4]), .C (alu_opcode[1]), .Q
(n_297));
NA2LX1 g19736(.A (\A[2] ), .B (n_227), .Q (n_296));
INLX1 g19737(.A (n_295), .Q (n_695));
NA2LX1 g19740(.A (alu_opcode[3]), .B (alu_opcode[6]), .Q (n_295));
NA2I1LX1 g19741(.B (n_223), .AN (\A[6] ), .Q (\result[6]_757 ));
NA2LX1 g19742(.A (alu_opcode[7]), .B (alu_opcode[6]), .Q (n_294));
NO2LX1 g19743(.A (alu_opcode[4]), .B (n_207), .Q (n_293));
NA2LX1 g19744(.A (n_211), .B (alu_a[4]), .Q (n_292));
NO2LX1 g19745(.A (n_230), .B (alu_opcode[1]), .Q (n_291));
NA2LX1 g19746(.A (n_210), .B (alu_opcode[5]), .Q (n_290));
NO2I1LX1 g19747(.B (alu_y[7]), .AN (alu_a[7]), .Q (n_669));
NO2LX1 g19748(.A (n_218), .B (\A[5] ), .Q (n_289));
NO2I1LX1 g19749(.B (\A[7] ), .AN (alu_a[7]), .Q (n_288));
NA2I1LX1 g19750(.B (alu_a[4]), .AN (\A[4] ), .Q (n_287));
NA2LX1 g19751(.A (n_219), .B (alu_opcode[7]), .Q (n_286));
NO2LX1 g19752(.A (alu_opcode[6]), .B (alu_opcode[3]), .Q (n_688));
AND2LX1 g19753(.A (\A[0] ), .B (alu_a[0]), .Q (\result[0]_633 ));
NA2LX1 g19754(.A (alu_opcode[0]), .B (alu_opcode[5]), .Q (n_285));
INLX1 g19756(.A (n_283), .Q (n_284));
NA2LX1 g19757(.A (alu_y[0]), .B (alu_y[1]), .Q (n_283));
NA2LX1 g19758(.A (n_222), .B (alu_a[4]), .Q (n_282));
NO2LX1 g19759(.A (alu_y[1]), .B (alu_y[0]), .Q (n_281));
NO2LX1 g19760(.A (n_223), .B (alu_y[6]), .Q (n_671));
NO2LX1 g19761(.A (alu_a[4]), .B (n_222), .Q (n_280));
NO2LX1 g19762(.A (alu_a[3]), .B (\A[3] ), .Q (n_690));
INLX1 g19764(.A (n_279), .Q (n_661));
NA2I1LX1 g19766(.B (n_232), .AN (\A[4] ), .Q (n_279));
INLX1 g19768(.A (\result[7]_5823 ), .Q (n_278));
OR2LX1 g19769(.A (\A[7] ), .B (alu_a[7]), .Q (\result[7]_5823 ));
INLX1 g19770(.A (n_277), .Q (n_689));
NA2I1LX1 g19772(.B (n_231), .AN (alu_a[1]), .Q (n_277));
NA2LX1 g19773(.A (alu_opcode[0]), .B (n_214), .Q (n_276));
INLX1 g19775(.A (\result[2]_753 ), .Q (n_275));
NA2I1LX1 g19776(.B (n_227), .AN (\A[2] ), .Q (\result[2]_753 ));
INLX1 g19779(.A (n_274), .Q (n_273));
NA2LX1 g19781(.A (n_225), .B (n_230), .Q (n_274));
NO2LX1 g19782(.A (alu_a[4]), .B (n_211), .Q (n_272));
INLX1 g19783(.A (n_271), .Q (\result[1]_634 ));
NA2LX1 g19785(.A (\A[1] ), .B (alu_a[1]), .Q (n_271));
NA2LX1 g19786(.A (alu_y[6]), .B (n_223), .Q (n_670));
NO2LX1 g19787(.A (alu_opcode[5]), .B (alu_opcode[7]), .Q (n_693));
INLX1 g19789(.A (n_270), .Q (n_269));
NA2LX1 g19790(.A (n_208), .B (alu_opcode[7]), .Q (n_270));
NA2LX1 g19791(.A (n_216), .B (alu_a[5]), .Q (n_268));
INLX1 g19792(.A (n_267), .Q (\result[3]_636 ));
NA2LX1 g19793(.A (\A[3] ), .B (alu_a[3]), .Q (n_267));
INLX1 g19794(.A (n_266), .Q (n_265));
NA2LX1 g19795(.A (alu_a[1]), .B (alu_a[0]), .Q (n_266));
NA2LX1 g19796(.A (alu_opcode[3]), .B (alu_opcode[4]), .Q (n_264));
NA2I1LX1 g19797(.B (alu_x[7]), .AN (alu_a[7]), .Q (n_673));
NO2LX1 g19798(.A (alu_a[0]), .B (alu_a[1]), .Q (n_263));
NA2I1LX1 g19799(.B (alu_a[3]), .AN (\A[3] ), .Q (n_262));
INLX1 g19800(.A (n_261), .Q (n_260));
NA2LX1 g19801(.A (\A[4] ), .B (n_232), .Q (n_261));
NA2LX1 g19802(.A (alu_x[6]), .B (n_223), .Q (n_675));
NA2LX1 g19803(.A (n_206), .B (alu_a[5]), .Q (n_259));
INLX1 g19804(.A (n_687), .Q (n_258));
NA2LX1 g19806(.A (\A[6] ), .B (alu_a[6]), .Q (n_687));
NO2I1LX1 g19807(.B (alu_x[7]), .AN (alu_a[7]), .Q (n_674));
NO2LX1 g19808(.A (alu_opcode[5]), .B (alu_opcode[6]), .Q (n_257));
NA2LX1 g19809(.A (\A[7] ), .B (alu_a[7]), .Q (n_686));
INLX1 g19810(.A (n_256), .Q (n_725));
OR2LX1 g19817(.A (alu_opcode[2]), .B (n_228), .Q (n_256));
INLX1 g19818(.A (n_255), .Q (n_254));
NA2LX1 g19819(.A (alu_x[0]), .B (alu_x[1]), .Q (n_255));
NO2LX1 g19820(.A (n_229), .B (\A[0] ), .Q (n_253));
NA2LX1 g19821(.A (\A[2] ), .B (alu_a[2]), .Q (n_764));
INLX1 g19822(.A (n_252), .Q (\result[4]_637 ));
NA2LX1 g19826(.A (\A[4] ), .B (alu_a[4]), .Q (n_252));
NA2LX1 g19827(.A (n_219), .B (n_210), .Q (n_251));
NA2I1LX1 g19828(.B (\A[7] ), .AN (alu_a[7]), .Q (n_250));
NO2LX1 g19829(.A (alu_opcode[6]), .B (n_207), .Q (n_724));
INLX1 g19830(.A (n_249), .Q (n_248));
NA2LX1 g19832(.A (alu_opcode[3]), .B (n_219), .Q (n_249));
NA2I1LX1 g19833(.B (n_229), .AN (\A[0] ), .Q (\result[0]_751 ));
INLX1 g19834(.A (\result[5]_756 ), .Q (n_247));
OR2LX1 g19837(.A (\A[5] ), .B (alu_a[5]), .Q (\result[5]_756 ));
NA2LX1 g19838(.A (\A[6] ), .B (n_223), .Q (n_246));
NO2LX1 g19839(.A (alu_opcode[5]), .B (n_225), .Q (n_245));
NO2LX1 g19840(.A (n_223), .B (alu_x[6]), .Q (n_676));
NO2LX1 g19841(.A (alu_x[1]), .B (alu_x[0]), .Q (n_244));
INLX1 g19843(.A (n_243), .Q (n_242));
NA2LX1 g19844(.A (n_210), .B (alu_opcode[7]), .Q (n_243));
NO2LX1 g19845(.A (n_227), .B (\A[2] ), .Q (n_241));
NA2LX1 g19846(.A (n_219), .B (n_214), .Q (n_240));
NA2LX1 g19847(.A (\A[5] ), .B (alu_a[5]), .Q (n_685));
NA2LX1 g19848(.A (alu_opcode[1]), .B (alu_opcode[5]), .Q (n_239));
NO2LX1 g19849(.A (n_223), .B (\A[6] ), .Q (n_238));
NA2LX1 g19850(.A (\A[5] ), .B (n_218), .Q (n_237));
NA2I1LX1 g19851(.B (alu_y[7]), .AN (alu_a[7]), .Q (n_668));
NO2LX1 g19852(.A (n_225), .B (alu_opcode[7]), .Q (n_236));
NO2LX1 g19853(.A (alu_a[5]), .B (n_206), .Q (n_235));
NO2LX1 g19854(.A (alu_a[5]), .B (n_216), .Q (n_234));
NO2I1LX1 g19855(.B (alu_a[3]), .AN (\A[3] ), .Q (n_233));
INLX1 g19856(.A (alu_a[4]), .Q (n_232));
INLX1 g19860(.A (\A[1] ), .Q (n_231));
INLX1 g19861(.A (alu_opcode[5]), .Q (n_230));
INLX1 g19866(.A (alu_a[0]), .Q (n_229));
INLX1 g19870(.A (alu_opcode[4]), .Q (n_228));
INLX1 g19875(.A (alu_a[2]), .Q (n_227));
INLX1 g19879(.A (alu_x[3]), .Q (n_226));
INLX1 g19880(.A (alu_opcode[1]), .Q (n_225));
INLX1 g19885(.A (alu_x[0]), .Q (n_224));
INLX1 g19889(.A (alu_a[6]), .Q (n_223));
INLX1 g19896(.A (alu_y[4]), .Q (n_222));
INLX1 g19899(.A (alu_a[3]), .Q (n_221));
INLX1 g19902(.A (alu_x[6]), .Q (n_220));
INLX1 g19908(.A (alu_opcode[2]), .Q (n_219));
INLX1 g19910(.A (alu_a[5]), .Q (n_218));
INLX1 g19923(.A (alu_x[5]), .Q (n_216));
INLX1 g19926(.A (alu_y[6]), .Q (n_215));
INLX1 g19928(.A (alu_opcode[7]), .Q (n_214));
INLX1 g19934(.A (alu_y[3]), .Q (n_213));
INLX1 g19935(.A (alu_x[2]), .Q (n_212));
INLX1 g19936(.A (alu_x[4]), .Q (n_211));
INLX1 g19948(.A (alu_opcode[0]), .Q (n_210));
INLX1 g19951(.A (alu_y[0]), .Q (n_209));
INLX1 g19954(.A (alu_opcode[6]), .Q (n_208));
INLX1 g19961(.A (alu_opcode[3]), .Q (n_207));
INLX1 g19965(.A (alu_y[5]), .Q (n_206));
INLX1 g19972(.A (alu_status[3]), .Q (n_205));
INLX1 g19973(.A (alu_y[2]), .Q (n_204));
DFRRAQLX1 \A_reg[0] (.RN (reset_n), .C (rc_gclk), .D (n_73), .Q
(\A[0] ));
DFRRAQLX1 \A_reg[1] (.RN (reset_n), .C (rc_gclk), .D (n_75), .Q
(\A[1] ));
DFRRAQLX1 \A_reg[2] (.RN (reset_n), .C (rc_gclk), .D (n_76), .Q
(\A[2] ));
DFRRAQLX1 \A_reg[3] (.RN (reset_n), .C (rc_gclk), .D (n_74), .Q
(\A[3] ));
DFRRAQLX1 \A_reg[4] (.RN (reset_n), .C (rc_gclk), .D (n_72), .Q
(\A[4] ));
DFRRAQLX1 \A_reg[5] (.RN (reset_n), .C (rc_gclk), .D (n_71), .Q
(\A[5] ));
DFRRAQLX1 \A_reg[6] (.RN (reset_n), .C (rc_gclk), .D (n_89), .Q
(\A[6] ));
DFRRAQLX1 \A_reg[7] (.RN (reset_n), .C (rc_gclk), .D (n_90), .Q
(\A[7] ));
SDFRRAQLX1 \alu_status_reg[0] (.RN (reset_n), .C (clk), .D (n_202),
.SD (alu_status[0]), .SE (n_177), .Q (alu_status[0]));
SDFRSAQLX1 \alu_status_reg[1] (.SN (reset_n), .C (clk), .D (n_203),
.SD (alu_status[1]), .SE (n_171), .Q (alu_status[1]));
SDFRRAQLX1 \alu_status_reg[2] (.RN (reset_n), .C (clk), .D (n_170),
.SD (alu_status[2]), .SE (n_178), .Q (alu_status[2]));
SDFRRAQLX1 \alu_status_reg[3] (.RN (reset_n), .C (clk), .D (n_175),
.SD (alu_status[3]), .SE (n_176), .Q (alu_status[3]));
SDFRRAQLX1 \alu_status_reg[4] (.RN (reset_n), .C (clk), .D (n_174),
.SD (alu_status[4]), .SE (n_172), .Q (alu_status[4]));
SDFRSAQLX1 \alu_status_reg[5] (.SN (reset_n), .C (clk), .D (n_145),
.SD (alu_status[5]), .SE (n_168), .Q (alu_status[5]));
SDFRRAQLX1 \alu_status_reg[6] (.RN (reset_n), .C (clk), .D (n_192),
.SD (alu_status[6]), .SE (n_164), .Q (alu_status[6]));
SDFRRAQLX1 \alu_status_reg[7] (.RN (reset_n), .C (clk), .D (n_201),
.SD (alu_status[7]), .SE (n_171), .Q (alu_status[7]));
DFRRAQLX1 \alu_x_reg[0] (.RN (reset_n), .C (rc_gclk_9705), .D (n_57),
.Q (alu_x[0]));
DFRRAQLX1 \alu_x_reg[1] (.RN (reset_n), .C (rc_gclk_9705), .D (n_54),
.Q (alu_x[1]));
DFRRAQLX1 \alu_x_reg[2] (.RN (reset_n), .C (rc_gclk_9705), .D (n_49),
.Q (alu_x[2]));
DFRRAQLX1 \alu_x_reg[3] (.RN (reset_n), .C (rc_gclk_9705), .D (n_53),
.Q (alu_x[3]));
DFRRAQLX1 \alu_x_reg[4] (.RN (reset_n), .C (rc_gclk_9705), .D (n_59),
.Q (alu_x[4]));
DFRRAQLX1 \alu_x_reg[5] (.RN (reset_n), .C (rc_gclk_9705), .D (n_52),
.Q (alu_x[5]));
DFRRAQLX1 \alu_x_reg[6] (.RN (reset_n), .C (rc_gclk_9705), .D (n_51),
.Q (alu_x[6]));
DFRRAQLX1 \alu_x_reg[7] (.RN (reset_n), .C (rc_gclk_9705), .D (n_50),
.Q (alu_x[7]));
DFRRAQLX1 \alu_y_reg[0] (.RN (reset_n), .C (rc_gclk_9708), .D (n_84),
.Q (alu_y[0]));
DFRRAQLX1 \alu_y_reg[1] (.RN (reset_n), .C (rc_gclk_9708), .D (n_83),
.Q (alu_y[1]));
DFRRAQLX1 \alu_y_reg[2] (.RN (reset_n), .C (rc_gclk_9708), .D (n_85),
.Q (alu_y[2]));
DFRRAQLX1 \alu_y_reg[3] (.RN (reset_n), .C (rc_gclk_9708), .D (n_82),
.Q (alu_y[3]));
DFRRAQLX1 \alu_y_reg[4] (.RN (reset_n), .C (rc_gclk_9708), .D (n_81),
.Q (alu_y[4]));
DFRRAQLX1 \alu_y_reg[5] (.RN (reset_n), .C (rc_gclk_9708), .D (n_80),
.Q (alu_y[5]));
DFRRAQLX1 \alu_y_reg[6] (.RN (reset_n), .C (rc_gclk_9708), .D (n_79),
.Q (alu_y[6]));
DFRRAQLX1 \alu_y_reg[7] (.RN (reset_n), .C (rc_gclk_9708), .D (n_78),
.Q (alu_y[7]));
AO222LX1 g11129(.A (n_166), .B (alu_status[1]), .C (n_190), .D
(n_200), .E (alu_a[1]), .F (n_105), .Q (n_203));
AO221LX1 g11130(.A (n_65), .B (n_739), .C (n_64), .D (n_112), .E
(n_198), .Q (n_202));
AO211LX1 g11131(.A (result), .B (n_39), .C (n_196), .D (n_197), .Q
(n_201));
AN221LX1 g11132(.A (alu_enable), .B (n_150), .C (n_138), .D (n_39),
.E (n_199), .Q (n_200));
ON21LX1 g11134(.A (n_137), .B (n_28), .C (n_195), .Q (n_199));
OR7LX1 g11135(.A (n_63), .B (n_141), .C (n_142), .D (n_191), .E
(n_107), .F (n_727), .G (n_99), .Q (n_198));
AO221LX1 g11136(.A (n_65), .B (\bcdh[3] ), .C (n_64), .D
(\result[7]_721 ), .E (n_194), .Q (n_197));
AO221LX1 g11137(.A (n_26), .B (\result[7]_794 ), .C (alu_enable), .D
(n_68), .E (n_193), .Q (n_196));
AND8LX1 g11138(.A (n_160), .B (n_180), .C (n_62), .D (n_143), .E
(n_186), .F (n_185), .G (n_149), .H (n_148), .Q (n_195));
ON211LX1 g11139(.A (n_16), .B (n_43), .C (n_189), .D (n_62), .Q
(n_194));
AO221LX1 g11140(.A (n_33), .B (\result[7]_742 ), .C (alu_enable), .D
(n_67), .E (n_188), .Q (n_193));
AO222LX1 g11141(.A (n_162), .B (alu_status[6]), .C (n_184), .D
(n_108), .E (alu_a[6]), .F (n_126), .Q (n_192));
AO221LX1 g11142(.A (n_32), .B (\A[7] ), .C (alu_a[7]), .D (n_40), .E
(n_183), .Q (n_191));
AN221LX1 g11143(.A (n_42), .B (n_130), .C (n_129), .D (n_26), .E
(n_187), .Q (n_190));
AN221LX1 g11147(.A (alu_a[7]), .B (n_131), .C (\result[7]_688 ), .D
(n_24), .E (n_182), .Q (n_189));
ON21LX1 g11149(.A (n_158), .B (n_70), .C (n_181), .Q (n_188));
NA6I5LX1 g11150(.F (n_94), .AN (n_156), .BN (n_128), .CN (n_105), .DN
(n_179), .EN (n_166), .Q (n_187));
AN221LX1 g11151(.A (n_151), .B (n_159), .C (n_152), .D (alu_enable),
.E (n_173), .Q (n_186));
AN332LX1 g11152(.A (n_159), .B (n_102), .C (n_710), .D (alu_enable),
.E (n_104), .F (n_709), .G (n_61), .H (n_120), .Q (n_185));
AN221LX1 g11153(.A (n_64), .B (n_69), .C (n_66), .D (n_39), .E
(n_169), .Q (n_184));
AO332LX1 g11154(.A (n_100), .B (n_135), .C (n_161), .D (alu_enable),
.E (n_714), .F (\A[0] ), .G (alu_a[0]), .H (n_123), .Q (n_183));
AO221LX1 g11155(.A (n_30), .B (n_753), .C (n_32), .D (\A[6] ), .E
(n_173), .Q (n_182));
AN221LX1 g11156(.A (alu_a[6]), .B (n_40), .C (alu_status[7]), .D
(n_157), .E (n_727), .Q (n_181));
ON21LX1 g11157(.A (n_154), .B (n_155), .C (alu_enable), .Q (n_180));
NO2I1LX1 g11158(.B (n_163), .AN (alu_enable), .Q (n_179));
AN21LX1 g11159(.A (n_93), .B (alu_enable), .C (n_165), .Q (n_178));
AN21LX1 g11160(.A (n_106), .B (alu_enable), .C (n_165), .Q (n_177));
AN21LX1 g11161(.A (n_97), .B (alu_enable), .C (n_165), .Q (n_176));
AO221LX1 g11162(.A (alu_a[3]), .B (n_105), .C (n_144), .D
(alu_status[3]), .E (n_118), .Q (n_175));
AO221LX1 g11163(.A (alu_a[4]), .B (n_105), .C (n_144), .D
(alu_status[4]), .E (n_111), .Q (n_174));
AND3LX1 g11164(.A (alu_x[7]), .B (n_159), .C (n_712), .Q (n_173));
AN21LX1 g11165(.A (n_77), .B (alu_enable), .C (n_167), .Q (n_172));
AN21LX1 g11166(.A (n_657), .B (alu_enable), .C (n_167), .Q (n_171));
AO221LX1 g11167(.A (alu_a[2]), .B (n_105), .C (n_144), .D
(alu_status[2]), .E (n_103), .Q (n_170));
OR3LX1 g11168(.A (n_162), .B (n_128), .C (n_126), .Q (n_169));
INLX1 g11169(.A (n_167), .Q (n_168));
NA2I1LX1 g11170(.B (n_153), .AN (n_766), .Q (n_167));
AO21LX1 g11171(.A (n_657), .B (n_18), .C (n_157), .Q (n_166));
ON21LX1 g11172(.A (n_14), .B (n_18), .C (n_153), .Q (n_165));
OA21LX1 g11173(.A (n_139), .B (n_18), .C (n_146), .Q (n_164));
AN221LX1 g11174(.A (n_654), .B (n_113), .C (n_761), .D (n_651), .E
(n_134), .Q (n_163));
AO21LX1 g11175(.A (n_144), .B (n_35), .C (n_157), .Q (n_162));
AO21LX1 g11176(.A (n_144), .B (n_15), .C (n_157), .Q (n_161));
AN22LX1 g11177(.A (n_136), .B (n_24), .C (n_25), .D (n_65), .Q
(n_160));
INLX1 g11179(.A (n_158), .Q (n_159));
NA2LX1 g11181(.A (n_144), .B (alu_enable), .Q (n_158));
AND2LX1 g11182(.A (n_144), .B (n_18), .Q (n_157));
NO2I1LX1 g11183(.B (n_140), .AN (n_653), .Q (n_156));
OA31LX1 g11184(.A (n_122), .B (\result[6]_671 ), .C (\result[7]_672
), .D (n_706), .Q (n_155));
OA31LX1 g11185(.A (n_121), .B (\result[6]_663 ), .C (\result[7]_664
), .D (n_720), .Q (n_154));
AN21LX1 g11186(.A (n_105), .B (alu_enable), .C (n_147), .Q (n_153));
AO22LX1 g11187(.A (n_715), .B (n_133), .C (n_119), .D (n_711), .Q
(n_152));
AO22LX1 g11188(.A (n_132), .B (n_721), .C (n_101), .D (n_712), .Q
(n_151));
AO222LX1 g11189(.A (n_723), .B (n_116), .C (n_655), .D (n_761), .E
(n_656), .F (n_117), .Q (n_150));
ON311LX1 g11190(.A (n_115), .B (\result[6]_695 ), .C (\result[7]_696
), .D (alu_enable), .E (n_704), .Q (n_149));
ON311LX1 g11191(.A (n_114), .B (\result[6]_703 ), .C (\result[7]_704
), .D (alu_enable), .E (n_713), .Q (n_148));
INLX1 g11192(.A (n_146), .Q (n_147));
NA2LX1 g11193(.A (n_127), .B (alu_enable), .Q (n_146));
NO2LX1 g11194(.A (n_657), .B (n_128), .Q (n_145));
OR2LX1 g11195(.A (n_127), .B (n_658), .Q (n_144));
ON31LX1 g11196(.A (n_110), .B (\result[5]_678 ), .C (\result[6]_679
), .D (n_44), .Q (n_143));
AN21LX1 g11197(.A (n_125), .B (n_673), .C (n_43), .Q (n_142));
AN21LX1 g11198(.A (n_124), .B (n_668), .C (n_27), .Q (n_141));
AN21LX1 g11199(.A (n_113), .B (alu_enable), .C (n_30), .Q (n_140));
AN211LX1 g11200(.A (n_98), .B (alu_opcode[7]), .C (n_126), .D
(n_770), .Q (n_139));
OR8LX1 g11201(.A (\bcdh[2]_812 ), .B (\result[4]_775 ), .C
(\AL[2]_802 ), .D (n_13), .E (result), .F (\bcdh[1]_811 ), .G
(\AL[3]_803 ), .H (\AL[1]_801 ), .Q (n_138));
NO8LX1 g11202(.A (\result[7]_721 ), .B (\result[6]_720 ), .C
(\result[4]_718 ), .D (\AL[2] ), .E (\result[5]_719 ), .F
(\AL[3] ), .G (\AL[1] ), .H (\AL[0] ), .Q (n_137));
OR8LX1 g11203(.A (\result[6]_687 ), .B (\result[4]_685 ), .C
(\result[2]_683 ), .D (n_229), .E (\result[7]_688 ), .F
(\result[5]_686 ), .G (\result[3]_684 ), .H (\result[1]_682 ),
.Q (n_136));
NO6I3LX1 g11204(.D (n_123), .E (n_39), .F (n_33), .AN (n_27), .BN
(n_43), .CN (alu_status[0]), .Q (n_135));
AO22LX1 g11205(.A (n_116), .B (n_722), .C (n_117), .D (n_652), .Q
(n_134));
OR2LX1 g11206(.A (n_113), .B (alu_a[0]), .Q (n_133));
OR2LX1 g11207(.A (n_116), .B (\A[0] ), .Q (n_132));
AO21LX1 g11208(.A (n_715), .B (alu_enable), .C (n_126), .Q (n_131));
OR8LX1 g11209(.A (\result[5]_784 ), .B (\result[3]_782 ), .C
(\result[2]_781 ), .D (n_9), .E (\result[7]_786 ), .F
(\result[6]_785 ), .G (\result[4]_783 ), .H (\result[1]_780 ),
.Q (n_130));
OR8LX1 g11210(.A (\result[5]_792 ), .B (\result[3]_790 ), .C
(\result[2]_789 ), .D (n_20), .E (\result[7]_794 ), .F
(\result[6]_793 ), .G (\result[4]_791 ), .H (\result[1]_788 ),
.Q (n_129));
OR5LX1 g11211(.A (n_97), .B (n_93), .C (n_106), .D (n_98), .E (n_77),
.Q (n_128));
OR4LX1 g11212(.A (n_23), .B (n_755), .C (n_754), .D (n_88), .Q
(n_127));
OR2LX1 g11213(.A (n_105), .B (n_657), .Q (n_126));
AO21LX1 g11214(.A (n_92), .B (n_675), .C (n_674), .Q (n_125));
AO21LX1 g11215(.A (n_91), .B (n_670), .C (n_669), .Q (n_124));
AO21LX1 g11216(.A (n_701), .B (alu_enable), .C (n_105), .Q (n_123));
NA6I4LX1 g11217(.E (\result[1]_690 ), .F (alu_x[0]), .AN
(\result[5]_670 ), .BN (\result[4]_669 ), .CN (\result[3]_668 ),
.DN (\result[2]_667 ), .Q (n_122));
NA6I5LX1 g11218(.F (\result[1]_682 ), .AN (\result[3]_660 ), .BN
(\result[2]_659 ), .CN (n_229), .DN (\result[5]_662 ), .EN
(\result[4]_661 ), .Q (n_121));
OR8LX1 g11219(.A (\result[7]_5822 ), .B (\result[6]_749 ), .C
(\result[3]_746 ), .D (\result[1]_744 ), .E (n_300), .F
(\result[2]_745 ), .G (\result[5]_748 ), .H (\AH[0] ), .Q
(n_120));
NA4I3LX1 g11220(.D (n_687), .AN (n_109), .BN (\result[3]_636 ), .CN
(\result[4]_637 ), .Q (n_119));
AO21LX1 g11221(.A (n_97), .B (alu_opcode[5]), .C (n_727), .Q (n_118));
OR6LX1 g11222(.A (\A[5] ), .B (\A[2] ), .C (\A[1] ), .D (n_29), .E
(\A[3] ), .F (\A[0] ), .Q (n_117));
OR6LX1 g11223(.A (\A[7] ), .B (\A[3] ), .C (\A[1] ), .D (n_29), .E
(\A[5] ), .F (\A[2] ), .Q (n_116));
NA6I5LX1 g11224(.F (alu_x[0]), .AN (\result[3]_692 ), .BN
(\result[2]_691 ), .CN (\result[1]_690 ), .DN (\result[5]_694 ),
.EN (\result[4]_693 ), .Q (n_115));
NA6I5LX1 g11225(.F (alu_y[0]), .AN (\result[3]_700 ), .BN
(\result[2]_699 ), .CN (\result[1]_698 ), .DN (\result[5]_702 ),
.EN (\result[4]_701 ), .Q (n_114));
OR7LX1 g11226(.A (alu_a[3]), .B (alu_a[7]), .C (alu_a[6]), .D
(alu_a[2]), .E (alu_a[1]), .F (alu_a[4]), .G (alu_a[5]), .Q
(n_113));
EO2LX1 g11227(.A (n_96), .B (n_86), .Q (n_112));
OR2LX1 g11244(.A (n_727), .B (n_77), .Q (n_111));
NA5I3LX1 g11245(.D (\result[1]_698 ), .E (alu_y[0]), .AN
(\result[4]_677 ), .BN (\result[3]_676 ), .CN (\result[2]_675 ),
.Q (n_110));
NA5I2LX1 g11246(.C (n_686), .D (n_764), .E (n_685), .AN
(\result[1]_634 ), .BN (\result[0]_633 ), .Q (n_109));
ON21LX1 g11247(.A (n_47), .B (\result[7]_5822 ), .C (n_65), .Q
(n_108));
AN21LX1 g11248(.A (n_56), .B (n_683), .C (n_34), .Q (n_107));
AO21LX1 g11249(.A (n_60), .B (n_693), .C (n_99), .Q (n_106));
AN211LX1 g11250(.A (alu_opcode[3]), .B (n_45), .C (n_58), .D (n_87),
.Q (n_105));
OR4LX1 g11251(.A (n_95), .B (\result[7]_5823 ), .C (\result[6]_757 ),
.D (\result[5]_756 ), .Q (n_104));
ON21LX1 g11252(.A (n_48), .B (n_45), .C (n_22), .Q (n_103));
OR8LX1 g11253(.A (alu_y[6]), .B (alu_y[4]), .C (alu_y[2]), .D
(alu_y[0]), .E (alu_y[7]), .F (alu_y[5]), .G (alu_y[3]), .H
(alu_y[1]), .Q (n_102));
OR7LX1 g11254(.A (alu_x[6]), .B (alu_x[4]), .C (alu_x[3]), .D
(alu_x[5]), .E (alu_x[2]), .F (alu_x[1]), .G (alu_x[0]), .Q
(n_101));
NO4LX1 g11255(.A (n_65), .B (n_64), .C (n_32), .D (n_40), .Q (n_100));
NO2I1LX1 g11264(.B (n_45), .AN (n_60), .Q (n_99));
AND2LX1 g11265(.A (n_60), .B (alu_opcode[5]), .Q (n_98));
NO2I1LX1 g11266(.B (n_48), .AN (alu_opcode[7]), .Q (n_97));
AN31LX1 g11267(.A (n_684), .B (n_692), .C (n_737), .D (n_12), .Q
(n_96));
NA5I2LX1 g11268(.C (n_689), .D (n_661), .E (n_690), .AN
(\result[2]_753 ), .BN (\result[0]_751 ), .Q (n_95));
ON31LX1 g11269(.A (n_651), .B (n_722), .C (n_652), .D (n_30), .Q
(n_94));
AN21LX1 g11270(.A (n_17), .B (n_45), .C (n_48), .Q (n_93));
AO21LX1 g11271(.A (n_37), .B (n_682), .C (n_676), .Q (n_92));
AO21LX1 g11272(.A (n_36), .B (n_679), .C (n_671), .Q (n_91));
AO21LX1 g11273(.A (n_726), .B (n_23), .C (n_727), .Q (n_90));
AO21LX1 g11274(.A (n_729), .B (n_23), .C (n_727), .Q (n_89));
NO4I1LX1 g11275(.B (n_728), .C (n_46), .D (alu_opcode[1]), .AN
(alu_opcode[6]), .Q (n_88));
AO211LX1 g11276(.A (n_207), .B (n_41), .C (n_695), .D (n_688), .Q
(n_87));
NA4I1LX1 g11277(.B (n_772), .C (n_660), .D (n_773), .AN (n_771), .Q
(n_86));
AO21LX1 g11278(.A (n_733), .B (n_31), .C (n_727), .Q (n_85));
AO21LX1 g11279(.A (n_735), .B (n_31), .C (n_727), .Q (n_84));
AO21LX1 g11280(.A (n_734), .B (n_31), .C (n_727), .Q (n_83));
AO21LX1 g11281(.A (n_732), .B (n_31), .C (n_727), .Q (n_82));
AO21LX1 g11282(.A (n_731), .B (n_31), .C (n_727), .Q (n_81));
AO21LX1 g11283(.A (n_730), .B (n_31), .C (n_727), .Q (n_80));
AO21LX1 g11284(.A (n_729), .B (n_31), .C (n_727), .Q (n_79));
AO21LX1 g11285(.A (n_726), .B (n_31), .C (n_727), .Q (n_78));
NO3I1LX1 g11286(.B (n_58), .C (n_17), .AN (n_688), .Q (n_77));
AO21LX1 g11287(.A (n_733), .B (n_23), .C (n_727), .Q (n_76));
AO21LX1 g11288(.A (n_734), .B (n_23), .C (n_727), .Q (n_75));
AO21LX1 g11289(.A (n_732), .B (n_23), .C (n_727), .Q (n_74));
AO21LX1 g11290(.A (n_735), .B (n_23), .C (n_727), .Q (n_73));
AO21LX1 g11291(.A (n_731), .B (n_23), .C (n_727), .Q (n_72));
AO21LX1 g11292(.A (n_730), .B (n_23), .C (n_727), .Q (n_71));
AN222LX1 g11293(.A (n_710), .B (alu_y[7]), .C (\A[7] ), .D (n_721),
.E (n_711), .F (n_21), .Q (n_70));
ON21LX1 g11294(.A (\A[7] ), .B (\result[7]_721 ), .C (n_55), .Q
(n_69));
AO222LX1 g11295(.A (n_704), .B (\result[7]_696 ), .C (n_706), .D
(\result[7]_672 ), .E (n_713), .F (\result[7]_704 ), .Q (n_68));
AO222LX1 g11296(.A (n_708), .B (\result[7]_5822 ), .C (n_720), .D
(\result[7]_664 ), .E (n_709), .F (\result[7]_5823 ), .Q (n_67));
ON211LX1 g11297(.A (\A[7] ), .B (result), .C (n_38), .D
(\result[7]_5822 ), .Q (n_66));
NO2I1LX1 g11298(.B (n_28), .AN (alu_status[3]), .Q (n_65));
NO2LX1 g11299(.A (n_28), .B (alu_status[3]), .Q (n_64));
AND2LX1 g11300(.A (n_750), .B (n_39), .Q (n_63));
NA2LX1 g11301(.A (\result[7]_680 ), .B (n_44), .Q (n_62));
AO21LX1 g11302(.A (n_708), .B (alu_enable), .C (n_33), .Q (n_61));
AND3LX1 g11303(.A (n_725), .B (n_724), .C (n_697), .Q (n_60));
AO21LX1 g11304(.A (n_731), .B (n_752), .C (n_727), .Q (n_59));
NA3I2LX1 g11305(.C (n_697), .AN (alu_opcode[2]), .BN (alu_opcode[4]),
.Q (n_58));
AO21LX1 g11306(.A (n_735), .B (n_752), .C (n_727), .Q (n_57));
NA3LX1 g11307(.A (n_662), .B (n_699), .C (n_696), .Q (n_56));
AN21LX1 g11308(.A (\A[7] ), .B (\result[7]_721 ), .C (\result[7]_5822
), .Q (n_55));
AO21LX1 g11309(.A (n_734), .B (n_752), .C (n_727), .Q (n_54));
AO21LX1 g11310(.A (n_732), .B (n_752), .C (n_727), .Q (n_53));
AO21LX1 g11311(.A (n_730), .B (n_752), .C (n_727), .Q (n_52));
AO21LX1 g11312(.A (n_729), .B (n_752), .C (n_727), .Q (n_51));
AO21LX1 g11313(.A (n_726), .B (n_752), .C (n_727), .Q (n_50));
AO21LX1 g11314(.A (n_733), .B (n_752), .C (n_727), .Q (n_49));
NA3LX1 g11315(.A (n_695), .B (n_725), .C (n_697), .Q (n_48));
EN2LX1 g11316(.A (\A[7] ), .B (\bcdh[3] ), .Q (n_47));
NO2I1LX1 g11317(.B (alu_opcode[0]), .AN (alu_opcode[4]), .Q (n_46));
NA2I1LX1 g11318(.B (alu_opcode[5]), .AN (alu_opcode[7]), .Q (n_45));
NO2I1LX1 g11319(.B (n_18), .AN (n_705), .Q (n_44));
INLX1 g11320(.A (n_43), .Q (n_42));
NA2LX1 g11321(.A (n_703), .B (alu_enable), .Q (n_43));
OR2LX1 g11322(.A (alu_opcode[7]), .B (alu_opcode[5]), .Q (n_41));
NO2I1LX1 g11323(.B (n_18), .AN (n_700), .Q (n_40));
NO2I1LX1 g11324(.B (n_18), .AN (n_717), .Q (n_39));
NA2LX1 g11325(.A (\A[7] ), .B (result), .Q (n_38));
NA2LX1 g11326(.A (n_680), .B (n_681), .Q (n_37));
NA2LX1 g11327(.A (n_677), .B (n_678), .Q (n_36));
NO2LX1 g11328(.A (n_717), .B (n_707), .Q (n_35));
INLX1 g11329(.A (n_33), .Q (n_34));
NO2I1LX1 g11331(.B (n_18), .AN (n_718), .Q (n_33));
NO2I1LX1 g11332(.B (n_18), .AN (n_716), .Q (n_32));
OR2LX1 g11333(.A (n_754), .B (n_659), .Q (n_31));
NO2I1LX1 g11334(.B (n_18), .AN (alu_status[0]), .Q (n_30));
OR2LX1 g11335(.A (\A[6] ), .B (\A[4] ), .Q (n_29));
NA2LX1 g11336(.A (n_707), .B (alu_enable), .Q (n_28));
INLX1 g11337(.A (n_27), .Q (n_26));
NA2LX1 g11339(.A (n_702), .B (alu_enable), .Q (n_27));
OR2LX1 g11340(.A (\AL[4] ), .B (n_12), .Q (n_25));
NO2I1LX1 g11341(.B (n_18), .AN (n_719), .Q (n_24));
OR2LX1 g11342(.A (n_758), .B (n_757), .Q (n_23));
INLX1 g11343(.A (n_727), .Q (n_22));
INLX1 g11344(.A (n_686), .Q (n_21));
INLX1 g11345(.A (n_672), .Q (n_20));
INLX2 g11353(.A (alu_enable), .Q (n_18));
INLX1 g11359(.A (n_693), .Q (n_17));
INLX1 g11362(.A (\result[7]_786 ), .Q (n_16));
INLX1 g11363(.A (n_714), .Q (n_15));
INLX1 g11364(.A (n_770), .Q (n_14));
INLX1 g11365(.A (\AL[0] ), .Q (n_13));
INLX1 g11366(.A (n_691), .Q (n_12));
INLX1 g11369(.A (n_663), .Q (n_9));
DFRRAQLX1 \alu_result_reg[0] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_2), .Q (alu_result[0]));
DFRRAQLX1 \alu_result_reg[2] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_7), .Q (alu_result[2]));
DFRRAQLX1 \alu_result_reg[3] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_8), .Q (alu_result[3]));
DFRRAQLX1 \alu_result_reg[4] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_6), .Q (alu_result[4]));
DFRRAQLX1 \alu_result_reg[5] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_5), .Q (alu_result[5]));
DFRRAQLX1 \alu_result_reg[6] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_3), .Q (alu_result[6]));
DFRRAQLX1 \alu_result_reg[1] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_1), .Q (alu_result[1]));
DFRRAQLX1 \alu_result_reg[7] (.RN (reset_n), .C (rc_gclk_9702), .D
(n_4), .Q (alu_result[7]));
AO21LX1 g8306(.A (n_732), .B (n_0), .C (n_727), .Q (n_8));
AO21LX1 g8307(.A (n_733), .B (n_0), .C (n_727), .Q (n_7));
AO21LX1 g8308(.A (n_731), .B (n_0), .C (n_727), .Q (n_6));
AO21LX1 g8309(.A (n_730), .B (n_0), .C (n_727), .Q (n_5));
AO21LX1 g8310(.A (n_726), .B (n_0), .C (n_727), .Q (n_4));
AO21LX1 g8311(.A (n_729), .B (n_0), .C (n_727), .Q (n_3));
AO21LX1 g8312(.A (n_735), .B (n_0), .C (n_727), .Q (n_2));
AO21LX1 g8313(.A (n_734), .B (n_0), .C (n_727), .Q (n_1));
OR3LX1 g8314(.A (n_774), .B (n_758), .C (n_658), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1481(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1482(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1483(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1484(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1485(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1486(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1487(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1476(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1477(ck_in, enable, test, s_rst, ck_out);
input ck_in, enable, test, s_rst;
output ck_out;
wire ck_in, enable, test, s_rst;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR3LX1 g19(.A (enable), .B (s_rst), .C (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1478(ck_in, enable, test, s_rst, ck_out);
input ck_in, enable, test, s_rst;
output ck_out;
wire ck_in, enable, test, s_rst;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR3LX1 g19(.A (enable), .B (s_rst), .C (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1479(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module RC_CG_MOD_AUTO_t6507lp_1480(ck_in, enable, test, ck_out);
input ck_in, enable, test;
output ck_out;
wire ck_in, enable, test;
wire ck_out;
wire enl, n_0;
AND2LX1 g10(.A (enl), .B (ck_in), .Q (ck_out));
DLLQLX1 enl_reg(.GN (ck_in), .D (n_0), .Q (enl));
OR2LX1 g12(.A (enable), .B (test), .Q (n_0));
endmodule
 
module t6507lp_fsm_DATA_SIZE8_ADDR_SIZE13(clk, reset_n, alu_result,
alu_status, data_in, alu_x, alu_y, address, mem_rw, data_out,
alu_opcode, alu_a, alu_enable);
input clk, reset_n;
input [7:0] alu_result, alu_status, data_in, alu_x, alu_y;
output [12:0] address;
output mem_rw, alu_enable;
output [7:0] data_out, alu_opcode, alu_a;
wire clk, reset_n;
wire [7:0] alu_result, alu_status, data_in, alu_x, alu_y;
wire [12:0] address;
wire mem_rw, alu_enable;
wire [7:0] data_out, alu_opcode, alu_a;
wire \index[0] , \index[1] , \index[2] , \index[3] , \index[4] ,
\index[5] , \index[6] , \index[7] ;
wire index_is_x, \ir[0] , \ir[2] , \ir[3] , \ir[4] , \ir[5] , \ir[6]
, \ir[7] ;
wire n_0, n_1, n_5, n_7, n_10, n_11, n_13, n_17;
wire n_18, n_19, n_20, n_21, n_22, n_24, n_27, n_28;
wire n_29, n_31, n_32, n_33, n_35, n_37, n_38, n_41;
wire n_43, n_44, n_45, n_46, n_47, n_48, n_49, n_50;
wire n_51, n_52, n_53, n_54, n_55, n_56, n_57, n_58;
wire n_59, n_61, n_62, n_63, n_64, n_65, n_66, n_67;
wire n_68, n_69, n_70, n_71, n_72, n_73, n_74, n_75;
wire n_76, n_77, n_78, n_79, n_80, n_81, n_82, n_83;
wire n_84, n_85, n_86, n_87, n_88, n_89, n_90, n_91;
wire n_92, n_93, n_94, n_95, n_96, n_97, n_98, n_99;
wire n_100, n_101, n_102, n_103, n_104, n_105, n_106, n_107;
wire n_108, n_109, n_110, n_111, n_112, n_113, n_114, n_115;
wire n_116, n_117, n_118, n_119, n_120, n_121, n_122, n_123;
wire n_124, n_125, n_126, n_127, n_128, n_129, n_130, n_131;
wire n_132, n_133, n_134, n_135, n_136, n_137, n_138, n_139;
wire n_140, n_141, n_142, n_143, n_144, n_145, n_146, n_147;
wire n_148, n_149, n_150, n_151, n_152, n_153, n_154, n_155;
wire n_156, n_157, n_158, n_159, n_160, n_161, n_162, n_163;
wire n_164, n_165, n_166, n_167, n_168, n_169, n_170, n_171;
wire n_172, n_173, n_174, n_175, n_176, n_177, n_178, n_179;
wire n_180, n_181, n_182, n_183, n_184, n_185, n_186, n_187;
wire n_188, n_189, n_190, n_191, n_192, n_193, n_194, n_195;
wire n_196, n_197, n_198, n_199, n_200, n_201, n_202, n_203;
wire n_204, n_205, n_206, n_207, n_208, n_209, n_210, n_211;
wire n_212, n_213, n_214, n_215, n_216, n_217, n_218, n_219;
wire n_220, n_221, n_222, n_223, n_224, n_225, n_226, n_227;
wire n_228, n_229, n_230, n_231, n_232, n_233, n_234, n_235;
wire n_236, n_237, n_238, n_239, n_240, n_241, n_242, n_243;
wire n_244, n_245, n_246, n_247, n_248, n_249, n_250, n_251;
wire n_252, n_253, n_254, n_255, n_256, n_257, n_258, n_259;
wire n_260, n_261, n_262, n_263, n_264, n_265, n_266, n_267;
wire n_268, n_269, n_270, n_271, n_272, n_273, n_274, n_275;
wire n_276, n_277, n_278, n_279, n_280, n_281, n_282, n_283;
wire n_284, n_285, n_286, n_287, n_288, n_289, n_290, n_291;
wire n_292, n_293, n_294, n_295, n_296, n_297, n_298, n_299;
wire n_300, n_301, n_302, n_303, n_304, n_305, n_306, n_307;
wire n_308, n_309, n_310, n_311, n_312, n_313, n_314, n_315;
wire n_316, n_317, n_318, n_319, n_320, n_321, n_322, n_323;
wire n_324, n_325, n_326, n_327, n_328, n_329, n_330, n_331;
wire n_332, n_333, n_334, n_335, n_336, n_337, n_338, n_339;
wire n_340, n_341, n_342, n_343, n_344, n_345, n_346, n_347;
wire n_348, n_349, n_350, n_351, n_352, n_353, n_354, n_355;
wire n_356, n_357, n_358, n_359, n_360, n_361, n_362, n_363;
wire n_364, n_365, n_366, n_367, n_368, n_369, n_370, n_371;
wire n_372, n_373, n_374, n_375, n_376, n_377, n_378, n_379;
wire n_380, n_381, n_382, n_383, n_384, n_385, n_386, n_387;
wire n_388, n_389, n_390, n_391, n_392, n_393, n_394, n_395;
wire n_396, n_397, n_398, n_399, n_400, n_401, n_402, n_403;
wire n_404, n_405, n_406, n_407, n_408, n_409, n_410, n_411;
wire n_412, n_413, n_414, n_415, n_416, n_417, n_418, n_419;
wire n_420, n_421, n_422, n_423, n_424, n_425, n_426, n_427;
wire n_428, n_429, n_430, n_431, n_432, n_433, n_434, n_435;
wire n_436, n_437, n_438, n_439, n_440, n_441, n_442, n_443;
wire n_444, n_445, n_446, n_447, n_448, n_449, n_450, n_451;
wire n_452, n_453, n_454, n_455, n_456, n_457, n_458, n_459;
wire n_460, n_461, n_462, n_463, n_464, n_465, n_466, n_467;
wire n_468, n_469, n_470, n_471, n_472, n_473, n_474, n_475;
wire n_476, n_477, n_478, n_479, n_480, n_481, n_482, n_483;
wire n_484, n_485, n_486, n_487, n_488, n_489, n_490, n_491;
wire n_492, n_493, n_494, n_495, n_496, n_497, n_498, n_499;
wire n_500, n_501, n_502, n_503, n_504, n_505, n_506, n_507;
wire n_508, n_509, n_510, n_511, n_512, n_513, n_514, n_515;
wire n_516, n_517, n_518, n_519, n_520, n_521, n_522, n_523;
wire n_524, n_525, n_526, n_527, n_528, n_529, n_530, n_531;
wire n_532, n_533, n_534, n_535, n_536, n_537, n_538, n_539;
wire n_540, n_541, n_542, n_543, n_544, n_545, n_546, n_547;
wire n_548, n_549, n_550, n_551, n_552, n_553, n_554, n_555;
wire n_556, n_557, n_558, n_559, n_560, n_561, n_562, n_565;
wire n_566, n_567, n_568, n_569, n_570, n_571, n_572, n_573;
wire n_574, n_575, n_576, n_577, n_578, n_579, n_580, n_581;
wire n_582, n_583, n_584, n_585, n_587, n_588, n_589, n_590;
wire n_591, n_592, n_593, n_594, n_595, n_596, n_597, n_598;
wire n_599, n_600, n_601, n_602, n_603, n_604, n_605, n_606;
wire n_607, n_608, n_609, n_610, n_611, n_612, n_613, n_614;
wire n_615, n_616, n_617, n_618, n_619, n_620, n_621, n_622;
wire n_623, n_624, n_625, n_626, n_627, n_628, n_629, n_630;
wire n_631, n_632, n_633, n_634, n_635, n_636, n_637, n_638;
wire n_639, n_640, n_641, n_642, n_643, n_644, n_645, n_646;
wire n_647, n_648, n_649, n_650, n_651, n_652, n_653, n_654;
wire n_655, n_656, n_657, n_658, n_659, n_660, n_661, n_662;
wire n_663, n_664, n_665, n_666, n_667, n_668, n_669, n_670;
wire n_671, n_672, n_673, n_674, n_675, n_676, n_677, n_678;
wire n_679, n_680, n_681, n_682, n_683, n_684, n_685, n_686;
wire n_688, n_689, n_690, n_691, n_692, n_693, n_694, n_695;
wire n_696, n_697, n_698, n_699, n_700, n_701, n_702, n_703;
wire n_704, n_705, n_706, n_707, n_708, n_709, n_710, n_711;
wire n_712, n_713, n_714, n_715, n_716, n_717, n_718, n_719;
wire n_720, n_721, n_722, n_723, n_724, n_725, n_726, n_727;
wire n_728, n_729, n_730, n_731, n_732, n_733, n_734, n_735;
wire n_736, n_737, n_738, n_739, n_740, n_741, n_742, n_743;
wire n_744, n_745, n_746, n_747, n_748, n_749, n_750, n_751;
wire n_752, n_753, n_754, n_755, n_756, n_757, n_758, n_759;
wire n_760, n_761, n_762, n_763, n_764, n_765, n_766, n_767;
wire n_768, n_769, n_770, n_771, n_772, n_773, n_774, n_775;
wire n_776, n_777, n_778, n_779, n_780, n_781, n_782, n_783;
wire n_784, n_785, n_786, n_787, n_788, n_789, n_790, n_791;
wire n_792, n_793, n_794, n_795, n_796, n_797, n_798, n_799;
wire n_800, n_801, n_802, n_803, n_804, n_805, n_806, n_807;
wire n_808, n_809, n_810, n_811, n_812, n_813, n_814, n_815;
wire n_816, n_817, n_818, n_819, n_820, n_821, n_822, n_823;
wire n_824, n_825, n_826, n_827, n_828, n_829, n_830, n_831;
wire n_832, n_833, n_834, n_835, n_836, n_837, n_838, n_839;
wire n_840, n_841, n_842, n_843, n_844, n_845, n_846, n_847;
wire n_848, n_849, n_850, n_851, n_852, n_853, n_854, n_855;
wire n_856, n_857, n_858, n_859, n_860, n_861, n_862, n_863;
wire n_864, n_865, n_866, n_867, n_868, n_869, n_870, n_871;
wire n_872, n_873, n_874, n_875, n_876, n_877, n_878, n_879;
wire n_880, n_881, n_882, n_883, n_884, n_885, n_886, n_887;
wire n_888, n_889, n_890, n_891, n_892, n_893, n_894, n_895;
wire n_896, n_897, n_898, n_899, n_900, n_901, n_902, n_903;
wire n_904, n_905, n_906, n_907, n_908, n_909, n_910, n_911;
wire n_912, n_913, n_914, n_915, n_916, n_917, n_918, n_919;
wire n_920, n_921, n_922, n_923, n_924, n_925, n_926, n_927;
wire n_928, n_929, n_930, n_931, n_932, n_933, n_934, n_935;
wire n_936, n_937, n_938, n_939, n_940, n_941, n_942, n_943;
wire n_944, n_945, n_946, n_947, n_948, n_949, n_950, n_951;
wire n_952, n_953, n_954, n_955, n_956, n_957, n_958, n_959;
wire n_960, n_961, n_962, n_963, n_964, n_965, n_966, n_967;
wire n_968, n_969, n_970, n_971, n_972, n_973, n_974, n_975;
wire n_976, n_977, n_978, n_979, n_980, n_981, n_982, n_983;
wire n_984, n_985, n_986, n_987, n_988, n_989, n_990, n_991;
wire n_992, n_993, n_994, n_995, n_996, n_997, n_998, n_999;
wire n_1000, n_1001, n_1002, n_1003, n_1004, n_1005, n_1006, n_1007;
wire n_1008, n_1009, n_1010, n_1011, n_1012, n_1013, n_1014, n_1015;
wire n_1016, n_1017, n_1018, n_1019, n_1020, n_1021, n_1022, n_1023;
wire n_1024, n_1025, n_1026, n_1027, n_1028, n_1029, n_1030, n_1031;
wire n_1032, n_1033, n_1034, n_1035, n_1036, n_1037, n_1038, n_1039;
wire n_1040, n_1041, n_1042, n_1043, n_1044, n_1045, n_1046, n_1047;
wire n_1048, n_1049, n_1050, n_1051, n_1052, n_1053, n_1054, n_1055;
wire n_1056, n_1057, n_1058, n_1059, n_1060, n_1061, n_1062, n_1063;
wire n_1064, n_1065, n_1066, n_1067, n_1068, n_1069, n_1070, n_1071;
wire n_1072, n_1073, n_1074, n_1075, n_1076, n_1077, n_1078, n_1079;
wire n_1080, n_1081, n_1082, n_1083, n_1084, n_1085, n_1086, n_1087;
wire n_1088, n_1089, n_1090, n_1091, n_1092, n_1093, n_1094, n_1095;
wire n_1096, n_1097, n_1098, n_1099, n_1100, n_1101, n_1102, n_1103;
wire n_1104, n_1105, n_1106, n_1107, n_1108, n_1109, n_1110, n_1111;
wire n_1112, n_1113, n_1114, n_1115, n_1116, n_1117, n_1118, n_1119;
wire n_1120, n_1121, n_1122, n_1123, n_1124, n_1125, n_1126, n_1127;
wire n_1128, n_1129, n_1130, n_1131, n_1132, n_1133, n_1134, n_1135;
wire n_1136, n_1137, n_1138, n_1139, n_1140, n_1141, n_1142, n_1143;
wire n_1144, n_1145, n_1146, n_1147, n_1148, n_1149, n_1150, n_1151;
wire n_1152, n_1153, n_1154, n_1155, n_1156, n_1157, n_1158, n_1159;
wire n_1160, n_1161, n_1162, n_1163, n_1164, n_1165, n_1166, n_1167;
wire n_1168, n_1169, n_1170, n_1171, n_1172, n_1173, n_1174, n_1175;
wire n_1176, n_1177, n_1178, n_1179, n_1180, n_1181, n_1182, n_1183;
wire n_1184, n_1185, n_1186, n_1187, n_1188, n_1189, n_1190, n_1191;
wire n_1192, n_1193, n_1194, n_1195, n_1196, n_1197, n_1198, n_1199;
wire n_1200, n_1201, n_1202, n_1203, n_1204, n_1205, n_1206, n_1207;
wire n_1208, n_1209, n_1210, n_1211, n_1212, n_1213, n_1214, n_1215;
wire n_1216, n_1217, n_1218, n_1219, n_1220, n_1221, n_1222, n_1223;
wire n_1224, n_1225, n_1226, n_1227, n_1228, n_1229, n_1230, n_1231;
wire n_1232, n_1233, n_1234, n_1235, n_1236, n_1237, n_1238, n_1239;
wire n_1240, n_1241, n_1242, n_1243, n_1244, n_1245, n_1246, n_1247;
wire n_1248, n_1249, n_1250, n_1251, n_1252, n_1253, n_1254, n_1255;
wire n_1256, n_1257, n_1258, n_1259, n_1260, n_1261, n_1262, n_1263;
wire n_1264, n_1265, n_1266, n_1267, n_1268, n_1269, n_1270, n_1271;
wire n_1272, n_1273, n_1274, n_1275, n_1276, n_1277, n_1278, n_1279;
wire n_1280, n_1281, n_1282, n_1283, n_1284, n_1285, n_1286, n_1287;
wire n_1288, n_1289, n_1290, n_1291, n_1292, n_1293, n_1294, n_1295;
wire n_1296, n_1297, n_1298, n_1299, n_1300, n_1301, n_1302, n_1303;
wire n_1304, n_1305, n_1306, n_1307, n_1308, n_1309, n_1310, n_1311;
wire n_1312, n_1313, n_1314, n_1315, n_1316, n_1317, n_1318, n_1319;
wire n_1320, n_1321, n_1322, n_1323, n_1324, n_1325, n_1326, n_1327;
wire n_1328, n_1329, n_1330, n_1331, n_1332, n_1333, n_1334, n_1335;
wire n_1336, n_1337, n_1338, n_1339, n_1340, n_1341, n_1342, n_1343;
wire n_1344, n_1345, n_1346, n_1348, n_1349, n_1350, n_1351, n_1352;
wire n_1353, n_1354, n_1355, n_1356, n_1357, n_1358, n_1359, n_1360;
wire n_1361, n_1362, n_1363, n_1364, n_1365, n_1366, n_1367, n_1368;
wire n_1369, n_1370, n_1371, n_1372, n_1373, n_1374, n_1375, n_1376;
wire n_1377, n_1378, n_1379, n_1380, n_1381, n_1382, n_1383, n_1384;
wire n_1385, n_1386, n_1387, n_1388, n_1389, n_1390, n_1391, n_1392;
wire n_1393, n_1394, n_1395, n_1396, n_1397, n_1398, n_1399, n_1400;
wire n_1401, n_1402, n_1403, n_1404, n_1405, n_1406, n_1407, n_1408;
wire n_1409, n_1410, n_1411, n_1412, n_1413, n_1414, n_1415, n_1416;
wire n_1417, n_1418, n_1419, n_1420, n_1421, n_1422, n_1423, n_1424;
wire n_1425, n_1426, n_1427, n_1428, n_1429, n_1430, n_1431, n_1432;
wire n_1433, n_1434, n_1435, n_1436, n_1437, n_1438, n_1439, n_1440;
wire n_1441, n_1442, n_1443, n_1444, n_1445, n_1446, n_1447, n_1448;
wire n_1449, n_1450, n_1451, n_1452, n_1453, n_1454, n_1455, n_1456;
wire n_1457, n_1458, n_1459, n_1460, n_1461, n_1462, n_1463, n_1464;
wire n_1465, n_1466, n_1467, n_1468, n_1469, n_1470, n_1471, n_1472;
wire n_1473, n_1474, n_1475, n_1476, n_1477, n_1478, n_1479, n_1480;
wire n_1481, n_1482, n_1483, n_1484, n_1485, n_1486, n_1487, n_1488;
wire n_1489, n_1490, n_1491, n_1492, n_1493, n_1494, n_1495, n_1496;
wire n_1497, n_1498, n_1499, n_1500, n_1501, n_1502, n_1503, n_1504;
wire n_1505, n_1506, n_1507, n_1508, n_1509, n_1510, n_1511, n_1512;
wire n_1513, n_1527, n_1528, n_1529, n_1530, n_1531, n_1532, n_1533;
wire n_1534, n_1535, n_1536, n_1537, n_1538, n_1539, n_1540, n_1541;
wire n_1542, n_1543, n_1544, n_1545, n_1562, n_1563, n_1564, n_1565;
wire n_1566, n_1567, n_1568, n_1569, n_1570, n_1571, n_1572, n_1573;
wire n_1574, n_1575, n_1576, n_1577, n_1578, n_1579, n_1583, n_1586;
wire n_1587, n_1588, n_1589, n_1590, n_1591, n_1592, n_1593, n_1594;
wire n_1595, n_1596, n_1597, n_1598, n_1599, n_1600, n_1601, n_1602;
wire n_1603, n_1604, n_1605, n_1606, n_1607, n_1608, n_1609, n_1610;
wire n_1611, n_1612, n_1613, n_1614, n_1615, n_1616, n_1617, n_1618;
wire n_1619, n_1620, n_1621, n_1622, n_1627, n_1632, n_1633, n_1634;
wire n_1635, n_1636, n_1637, n_1638, n_1639, n_1640, n_1641, n_1642;
wire n_1643, n_1644, n_1645, n_1646, n_1647, n_1648, n_1649, n_1650;
wire n_1651, n_1652, n_1653, n_1654, n_1655, n_1656, n_1657, n_1658;
wire n_1659, n_1660, n_1661, n_1662, n_1663, n_1664, n_1665, n_1666;
wire n_1667, n_1668, n_1669, n_1670, n_1671, n_1672, n_1673, n_1674;
wire n_1675, n_1676, n_1677, n_1678, n_1679, n_1680, n_1681, n_1682;
wire n_1683, n_1684, n_1685, n_1686, n_1687, n_1688, n_1689, n_1690;
wire n_1691, n_1692, n_1693, n_1694, n_1695, n_1696, n_1697, n_1698;
wire n_1699, n_1700, n_1701, n_1702, n_1703, n_1704, n_1705, n_1706;
wire n_1707, n_1708, n_1709, n_1710, n_1711, n_1729, n_1730, n_1731;
wire n_1732, n_1733, n_1734, n_1735, n_1736, n_1737, n_1738, n_1739;
wire n_1740, n_1741, n_1742, n_1743, n_1744, n_1745, n_1746, n_1747;
wire n_1748, n_1749, n_1750, n_1751, n_1752, n_1753, n_1754, n_1755;
wire n_1756, n_1769, n_1780, n_1781, n_1782, n_1783, n_1784, n_1785;
wire n_1786, n_1787, n_1788, n_1789, n_1790, n_1791, n_1792, n_1793;
wire n_1794, n_1795, n_1796, n_1797, n_1798, n_1799, n_1800, n_1801;
wire n_1802, n_1815, n_1816, n_1817, n_1818, n_1819, n_1820, n_1821;
wire n_1822, n_1823, n_1824, n_1825, n_1826, n_1827, n_1828, n_1829;
wire n_1830, n_1831, n_1832, n_1833, n_1834, n_1835, n_1836, n_1837;
wire n_1838, n_1839, n_1840, n_1841, n_1842, n_1843, n_1844, n_1845;
wire n_1846, n_1847, n_1848, n_1849, n_1850, n_1851, n_1852, n_1853;
wire n_1854, n_1855, n_1856, n_1857, n_1858, n_1859, n_1860, n_1861;
wire n_1862, n_1863, n_1864, n_1865, n_1866, n_1867, n_1868, n_1869;
wire n_1870, n_1871, n_1872, n_1873, n_1874, n_1875, n_1876, n_1877;
wire n_1878, n_1879, n_1880, n_1881, n_1882, n_1883, n_1884, n_1885;
wire n_1886, n_1887, n_1888, n_1889, n_1890, n_1891, n_1892, n_1893;
wire n_1894, n_1895, n_1896, n_1897, n_1898, n_1909, \next_pc[1] ,
\next_pc[2] ;
wire \next_pc[3] , \next_pc[4] , \next_pc[5] , \next_pc[6] ,
\next_pc[7] , \next_pc[10] , \next_pc[11] , \next_pc[12] ;
wire page_crossed_1940, \pc[0] , \pc[1] , \pc[2] , \pc[3] , \pc[4] ,
\pc[5] , \pc[6] ;
wire \pc[7] , \pc[8] , \pc[9] , \pc[11] , \pc[12] , rc_gclk,
rc_gclk_17713, rc_gclk_17716;
wire rc_gclk_17719, rc_gclk_17722, rc_gclk_17725, rc_gclk_17728,
rc_gclk_17731, rc_gclk_17734, rc_gclk_17737, rc_gclk_17740;
wire rc_gclk_17743, \rst_counter[0] , \rst_counter[1] ,
\rst_counter[2] , \sp[0] , \sp[1] , \sp[2] , \sp[3] ;
wire \sp[4] , \sp[5] , \sp[6] , \sp[7] , \sp_minus_one[1] ,
\sp_minus_one[2] , \sp_minus_one[3] , \sp_minus_one[4] ;
wire \sp_minus_one[5] , \sp_minus_one[6] , \sp_minus_one[7] ,
\state[0] , \state[1] , \state[2] , \state[3] , \state[4] ;
wire \temp_addr[0] , \temp_addr[1] , \temp_addr[2] , \temp_addr[3] ,
\temp_addr[4] , \temp_addr[5] , \temp_addr[6] , \temp_addr[7] ;
wire \temp_addr[8] , \temp_addr[9] , \temp_addr[10] , \temp_addr[11]
, \temp_addr[12] , \temp_data[0] , \temp_data[1] , \temp_data[2]
;
wire \temp_data[3] , \temp_data[4] , \temp_data[5] , \temp_data[6] ,
\temp_data[7] ;
RC_CG_MOD_AUTO_t6507lp_1481 RC_CG_HIER_INST10(.ck_in (clk), .enable
(n_1730), .test (1'b0), .ck_out (rc_gclk_17725));
RC_CG_MOD_AUTO_t6507lp_1482 RC_CG_HIER_INST11(.ck_in (clk), .enable
(n_1729), .test (1'b0), .ck_out (rc_gclk_17728));
RC_CG_MOD_AUTO_t6507lp_1483 RC_CG_HIER_INST12(.ck_in (clk), .enable
(n_1769), .test (1'b0), .ck_out (rc_gclk_17731));
RC_CG_MOD_AUTO_t6507lp_1484 RC_CG_HIER_INST13(.ck_in (clk), .enable
(n_1750), .test (1'b0), .ck_out (rc_gclk_17734));
RC_CG_MOD_AUTO_t6507lp_1485 RC_CG_HIER_INST14(.ck_in (clk), .enable
(n_1737), .test (1'b0), .ck_out (rc_gclk_17737));
RC_CG_MOD_AUTO_t6507lp_1486 RC_CG_HIER_INST15(.ck_in (clk), .enable
(n_1736), .test (1'b0), .ck_out (rc_gclk_17740));
RC_CG_MOD_AUTO_t6507lp_1487 RC_CG_HIER_INST16(.ck_in (clk), .enable
(n_1744), .test (1'b0), .ck_out (rc_gclk_17743));
RC_CG_MOD_AUTO_t6507lp_1476 RC_CG_HIER_INST5(.ck_in (clk), .enable
(n_1733), .test (1'b0), .ck_out (rc_gclk));
RC_CG_MOD_AUTO_t6507lp_1477 RC_CG_HIER_INST6(.ck_in (clk), .enable
(n_1846), .test (1'b0), .s_rst (n_1843), .ck_out
(rc_gclk_17713));
RC_CG_MOD_AUTO_t6507lp_1478 RC_CG_HIER_INST7(.ck_in (clk), .enable
(n_1745), .test (1'b0), .s_rst (n_1815), .ck_out
(rc_gclk_17716));
RC_CG_MOD_AUTO_t6507lp_1479 RC_CG_HIER_INST8(.ck_in (clk), .enable
(n_777), .test (1'b0), .ck_out (rc_gclk_17719));
RC_CG_MOD_AUTO_t6507lp_1480 RC_CG_HIER_INST9(.ck_in (clk), .enable
(n_1739), .test (1'b0), .ck_out (rc_gclk_17722));
DFRRAQLX1 \address_reg[0] (.RN (reset_n), .C (rc_gclk), .D (n_1478),
.Q (address[0]));
DFRRAQLX1 \address_reg[10] (.RN (reset_n), .C (rc_gclk_17713), .D
(n_1487), .Q (address[10]));
DFRRAQLX1 \address_reg[11] (.RN (reset_n), .C (rc_gclk_17713), .D
(n_1493), .Q (address[11]));
DFRRAQLX1 \address_reg[12] (.RN (reset_n), .C (rc_gclk_17713), .D
(n_1500), .Q (address[12]));
DFRRAQLX1 \address_reg[1] (.RN (reset_n), .C (rc_gclk), .D (n_1481),
.Q (address[1]));
DFRRAQLX1 \address_reg[2] (.RN (reset_n), .C (rc_gclk), .D (n_1486),
.Q (address[2]));
DFRRAQLX1 \address_reg[3] (.RN (reset_n), .C (rc_gclk), .D (n_1492),
.Q (address[3]));
DFRRAQLX1 \address_reg[4] (.RN (reset_n), .C (rc_gclk), .D (n_1499),
.Q (address[4]));
DFRRAQLX1 \address_reg[5] (.RN (reset_n), .C (rc_gclk), .D (n_1504),
.Q (address[5]));
DFRRAQLX1 \address_reg[6] (.RN (reset_n), .C (rc_gclk), .D (n_1508),
.Q (address[6]));
DFRRAQLX1 \address_reg[7] (.RN (reset_n), .C (rc_gclk), .D (n_1510),
.Q (address[7]));
DFRRAQLX1 \address_reg[9] (.RN (reset_n), .C (rc_gclk_17713), .D
(n_1483), .Q (address[9]));
DFRRAQLX1 \data_out_reg[0] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1273), .Q (data_out[0]));
DFRRAQLX1 \data_out_reg[1] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1272), .Q (data_out[1]));
DFRRAQLX1 \data_out_reg[2] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1276), .Q (data_out[2]));
DFRRAQLX1 \data_out_reg[3] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1275), .Q (data_out[3]));
DFRRAQLX1 \data_out_reg[4] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1274), .Q (data_out[4]));
DFRRAQLX1 \data_out_reg[5] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1260), .Q (data_out[5]));
DFRRAQLX1 \data_out_reg[6] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1270), .Q (data_out[6]));
DFRRAQLX1 \data_out_reg[7] (.RN (reset_n), .C (rc_gclk_17716), .D
(n_1271), .Q (data_out[7]));
DLHQLX1 index_is_x_reg(.G (n_1206), .D (n_1116), .Q (index_is_x));
DFRRAQLX1 \index_reg[0] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1314), .Q (\index[0] ));
DFRRAQLX1 \index_reg[1] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1297), .Q (\index[1] ));
DFRRAQLX1 \index_reg[2] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1296), .Q (\index[2] ));
DFRRAQLX1 \index_reg[3] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1295), .Q (\index[3] ));
DFRRAQLX1 \index_reg[4] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1294), .Q (\index[4] ));
DFRRAQLX1 \index_reg[5] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1313), .Q (\index[5] ));
DFRRAQLX1 \index_reg[7] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_1312), .Q (\index[7] ));
DFRRAQLX1 \ir_reg[0] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[0]), .Q (n_1511));
DFRRAQLX1 \ir_reg[2] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[2]), .Q (n_1512));
DFRRQX2 \ir_reg[3] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[3]), .Q (\ir[3] ));
DFRRAQLX1 \ir_reg[4] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[4]), .Q (n_1513));
DFRRQX2 \ir_reg[5] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[5]), .Q (\ir[5] ));
DFRRQX1 \ir_reg[6] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[6]), .Q (\ir[6] ));
DFRRQX2 \ir_reg[7] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[7]), .Q (\ir[7] ));
DFRRAQLX1 \temp_data_reg[0] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1259), .Q (\temp_data[0] ));
DFRRAQLX1 \temp_data_reg[1] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1229), .Q (\temp_data[1] ));
DFRRAQLX1 \temp_data_reg[2] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1228), .Q (\temp_data[2] ));
DFRRAQLX1 \temp_data_reg[3] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1227), .Q (\temp_data[3] ));
DFRRAQLX1 \temp_data_reg[4] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1226), .Q (\temp_data[4] ));
DFRRAQLX1 \temp_data_reg[5] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1258), .Q (\temp_data[5] ));
DFRRAQLX1 \temp_data_reg[7] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_1257), .Q (\temp_data[7] ));
ON221LX1 g86612(.A (n_605), .B (n_1509), .C (n_1322), .D (n_1350), .E
(n_1471), .Q (n_1510));
NO4I3LX1 g86614(.D (n_1507), .AN (n_1420), .BN (n_1413), .CN
(n_1336), .Q (n_1509));
ON221LX1 g86615(.A (n_605), .B (n_1506), .C (n_580), .D (n_1338), .E
(n_1464), .Q (n_1508));
OA211LX1 g86616(.A (n_1503), .B (n_1291), .C (n_1505), .D (n_1797),
.Q (n_1507));
AN221LX1 g86618(.A (n_945), .B (n_1407), .C (n_1502), .D (n_1797), .E
(n_1403), .Q (n_1506));
NA2LX1 g86619(.A (n_1503), .B (n_1291), .Q (n_1505));
ON221LX1 g86620(.A (n_605), .B (n_1501), .C (n_1355), .D (n_662), .E
(n_1465), .Q (n_1504));
HAALX1 g86621(.A (n_1134), .B (n_1497), .S (n_1502), .CO (n_1503));
AN221LX1 g86622(.A (n_945), .B (n_1404), .C (n_1498), .D (n_914), .E
(n_1397), .Q (n_1501));
NO2I1LX1 g86625(.B (n_588), .AN (n_1494), .Q (n_1752));
NO2LX1 g86626(.A (n_1843), .B (n_1495), .Q (n_1500));
AN21LX1 g86627(.A (n_1277), .B (n_581), .C (n_1496), .Q (n_1499));
HAALX1 g86628(.A (n_1292), .B (n_1490), .S (n_1498), .CO (n_1497));
AN321LX1 g86631(.A (n_1319), .B (n_1269), .C (n_605), .D (n_980), .E
(n_1576), .F (n_1453), .Q (n_1496));
AN221LX1 g86632(.A (n_1383), .B (n_616), .C (n_1576), .D (n_1462), .E
(n_1468), .Q (n_1495));
MU2LX1 g86633(.S (n_1792), .IN0 (n_1576), .IN1 (\next_pc[12] ), .Q
(n_1494));
NO2LX1 g86634(.A (n_1843), .B (n_1491), .Q (n_1493));
ON211LX1 g86635(.A (n_1489), .B (n_605), .C (n_1268), .D (n_1470), .Q
(n_1492));
NO2I1LX1 g86636(.B (n_588), .AN (n_1488), .Q (n_1802));
AN221LX1 g86637(.A (n_1378), .B (n_616), .C (n_1575), .D (n_1462), .E
(n_1472), .Q (n_1491));
HAALX1 g86638(.A (n_1308), .B (n_1485), .S (n_1576), .CO (n_1490));
AN221LX1 g86639(.A (n_945), .B (n_1386), .C (n_1575), .D (n_914), .E
(n_1382), .Q (n_1489));
MU2LX1 g86640(.S (n_1792), .IN0 (n_1575), .IN1 (\next_pc[11] ), .Q
(n_1488));
NO2LX1 g86643(.A (n_1843), .B (n_1484), .Q (n_1487));
AN22LX1 g86644(.A (n_1482), .B (n_1459), .C (n_1183), .D (n_581), .Q
(n_1486));
HAALX1 g86645(.A (n_1307), .B (n_1479), .S (n_1575), .CO (n_1485));
AN221LX1 g86648(.A (n_1371), .B (n_616), .C (n_1574), .D (n_1462), .E
(n_1469), .Q (n_1484));
ON221LX1 g86649(.A (n_1792), .B (n_1574), .C (n_1435), .D
(\next_pc[10] ), .E (n_587), .Q (n_1732));
NO2LX1 g86650(.A (n_1843), .B (n_1480), .Q (n_1483));
NA2LX1 g86651(.A (n_1574), .B (n_980), .Q (n_1482));
ON32LX1 g86652(.A (n_1477), .B (n_974), .C (n_1189), .D (n_1477), .E
(n_581), .Q (n_1481));
AN221LX1 g86654(.A (n_1364), .B (n_616), .C (n_1573), .D (n_1462), .E
(n_1473), .Q (n_1480));
HAALX1 g86655(.A (n_1309), .B (n_1476), .S (n_1574), .CO (n_1479));
ON211LX1 g86656(.A (n_1475), .B (n_605), .C (n_1466), .D (n_1282), .Q
(n_1478));
AN321LX1 g86657(.A (n_1299), .B (n_1162), .C (n_605), .D (n_980), .E
(n_1573), .F (n_1455), .Q (n_1477));
ON221LX1 g86658(.A (n_1792), .B (n_1573), .C (n_1435), .D (n_1348),
.E (n_587), .Q (n_1731));
HAALX1 g86659(.A (n_1310), .B (n_1467), .S (n_1573), .CO (n_1476));
AN221LX1 g86660(.A (n_945), .B (n_1341), .C (n_1572), .D (n_914), .E
(n_1357), .Q (n_1475));
NA4LX1 g86661(.A (n_1831), .B (n_1851), .C (n_775), .D (n_1474), .Q
(n_1737));
NA5LX1 g86662(.A (n_1831), .B (n_805), .C (n_1872), .D (n_1830), .E
(n_1474), .Q (n_1736));
NA5I1LX1 g86663(.B (n_804), .C (n_823), .D (n_1833), .E (n_622), .AN
(n_1735), .Q (n_1733));
AN21LX1 g86664(.A (n_1457), .B (n_604), .C (n_1255), .Q (n_1474));
AO221LX1 g86665(.A (n_1461), .B (n_1348), .C (n_1085), .D
(\temp_addr[9] ), .E (n_1449), .Q (n_1473));
AO221LX1 g86666(.A (n_1461), .B (\next_pc[11] ), .C (n_1085), .D
(\temp_addr[11] ), .E (n_1448), .Q (n_1472));
AN221LX1 g86667(.A (n_1535), .B (n_1438), .C (\sp[7] ), .D (n_1250),
.E (n_1460), .Q (n_1471));
OA321LX1 g86668(.A (n_1219), .B (\ir[0] ), .C (n_1853), .D (n_1231),
.E (n_1853), .F (n_1458), .Q (n_1470));
AO221LX1 g86669(.A (n_1461), .B (\next_pc[10] ), .C (n_1085), .D
(\temp_addr[10] ), .E (n_1447), .Q (n_1469));
AO221LX1 g86670(.A (n_1461), .B (\next_pc[12] ), .C (n_1085), .D
(\temp_addr[12] ), .E (n_1446), .Q (n_1468));
HAALX1 g86671(.A (n_1452), .B (n_1318), .S (n_1572), .CO (n_1467));
OR2LX1 g86672(.A (n_1463), .B (n_1077), .Q (n_1735));
AN211LX1 g86673(.A (n_1440), .B (\pc[0] ), .C (n_1454), .D (n_1204),
.Q (n_1466));
OA221LX1 g86674(.A (n_711), .B (n_1445), .C (n_580), .D (n_1321), .E
(n_1398), .Q (n_1465));
AO21LX1 g86675(.A (n_1848), .B (n_710), .C (n_1463), .Q (n_1739));
AN221LX1 g86676(.A (n_1337), .B (n_580), .C (\sp[6] ), .D (n_1250),
.E (n_1450), .Q (n_1464));
NA2LX1 g86677(.A (n_1456), .B (n_838), .Q (n_1463));
NO2I1LX1 g86678(.B (n_581), .AN (n_1451), .Q (n_1462));
NA5I1LX1 g86679(.B (n_1456), .C (n_898), .D (n_895), .E (n_1256), .AN
(n_811), .Q (n_1730));
ON21LX1 g86680(.A (n_1442), .B (n_581), .C (n_1171), .Q (n_1461));
AO222LX1 g86681(.A (n_794), .B (n_1638), .C (\pc[7] ), .D (n_1434),
.E (n_1356), .F (n_580), .Q (n_1460));
AN321LX1 g86682(.A (n_1316), .B (n_1161), .C (n_605), .D (n_1540), .E
(n_1436), .F (n_1444), .Q (n_1459));
AN221LX1 g86683(.A (\temp_addr[3] ), .B (n_1126), .C (n_1443), .D
(n_710), .E (n_1385), .Q (n_1458));
ON32LX1 g86684(.A (n_623), .B (n_1594), .C (n_982), .D (n_712), .E
(n_1441), .Q (n_1457));
NA2LX1 g86685(.A (n_1441), .B (n_748), .Q (n_1456));
AO221LX1 g86686(.A (n_1541), .B (n_1436), .C (\pc[1] ), .D (n_1433),
.E (n_1373), .Q (n_1455));
ON21LX1 g86687(.A (n_1437), .B (n_711), .C (n_1353), .Q (n_1454));
AO221LX1 g86688(.A (n_1538), .B (n_1436), .C (\pc[4] ), .D (n_1433),
.E (n_1405), .Q (n_1453));
NA5I1LX1 g86689(.B (n_1414), .C (n_1415), .D (n_1439), .E (n_659),
.AN (n_1544), .Q (n_1452));
ON222LX1 g86690(.A (n_602), .B (n_1414), .C (n_1133), .D (n_1417), .E
(n_693), .F (n_1792), .Q (n_1451));
AO222LX1 g86691(.A (\pc[6] ), .B (n_1434), .C (n_1536), .D (n_1438),
.E (n_794), .F (n_1648), .Q (n_1450));
AO211LX1 g86692(.A (\pc[9] ), .B (n_1432), .C (n_942), .D (n_923), .Q
(n_1449));
AO211LX1 g86693(.A (\pc[11] ), .B (n_1432), .C (n_941), .D (n_923),
.Q (n_1448));
AO221LX1 g86694(.A (n_1431), .B (n_580), .C (n_1306), .D (n_1909), .E
(n_1022), .Q (n_1447));
AO221LX1 g86695(.A (n_1430), .B (n_580), .C (n_1306), .D (\pc[12] ),
.E (n_1021), .Q (n_1446));
AN222LX1 g86696(.A (\pc[5] ), .B (n_1428), .C (n_1658), .D (n_568),
.E (n_1537), .F (n_1429), .Q (n_1445));
AO222LX1 g86697(.A (\state[3] ), .B (n_1381), .C (\pc[2] ), .D
(n_1433), .E (n_690), .F (n_1688), .Q (n_1444));
AO222LX1 g86698(.A (\pc[3] ), .B (n_1428), .C (n_1539), .D (n_1429),
.E (n_568), .F (n_1677), .Q (n_1443));
AN22LX1 g86699(.A (n_1792), .B (n_692), .C (n_1848), .D (n_601), .Q
(n_1442));
MU2ILX1 g86700(.S (n_569), .IN0 (page_crossed_1940), .IN1 (n_1435),
.Q (n_1441));
NA2I1LX1 g86701(.B (n_1087), .AN (n_1434), .Q (n_1440));
ON21LX1 g86702(.A (n_1426), .B (n_1850), .C (n_694), .Q (n_1439));
AO21LX1 g86703(.A (n_1429), .B (n_710), .C (n_686), .Q (n_1438));
AN22LX1 g86704(.A (n_1427), .B (n_1543), .C (n_1709), .D (n_568), .Q
(n_1437));
AO22LX1 g86705(.A (n_1425), .B (n_1127), .C (\next_pc[7] ), .D
(n_1128), .Q (n_1638));
AO22LX1 g86706(.A (n_1429), .B (n_601), .C (n_627), .D (\state[3] ),
.Q (n_1436));
INLX1 g86707(.A (n_1792), .Q (n_1435));
NA2I1LX1 g86710(.B (n_1426), .AN (n_1850), .Q (n_1792));
NO2I1LX1 g86711(.B (n_711), .AN (n_1428), .Q (n_1434));
NA2I1LX1 g86712(.B (n_1426), .AN (n_839), .Q (n_1896));
AND2LX1 g86713(.A (n_1428), .B (n_601), .Q (n_1433));
ON21LX1 g86714(.A (n_1424), .B (n_581), .C (n_1283), .Q (n_1432));
AO22LX1 g86715(.A (n_1909), .B (n_1423), .C (n_713), .D (n_1683), .Q
(n_1431));
AO22LX1 g86716(.A (\pc[12] ), .B (n_1423), .C (n_713), .D (n_1664),
.Q (n_1430));
OR2LX1 g86717(.A (n_1422), .B (n_1416), .Q (n_1429));
ON21LX1 g86718(.A (n_1411), .B (n_960), .C (n_1421), .Q (n_1428));
AO21LX1 g86719(.A (n_1418), .B (n_999), .C (n_1416), .Q (n_1427));
FAALX1 g86720(.A (\pc[7] ), .B (\index[7] ), .CI (n_1408), .S
(n_1425), .CO (n_1426));
INLX1 g86721(.A (n_1423), .Q (n_1424));
AN21LX1 g86722(.A (n_939), .B (n_1002), .C (n_1417), .Q (n_1423));
OA21LX1 g86723(.A (n_1065), .B (n_858), .C (n_1418), .Q (n_1422));
ON21LX1 g86724(.A (n_991), .B (n_894), .C (n_1418), .Q (n_1421));
NA4I1LX1 g86725(.B (n_1419), .C (n_804), .D (n_787), .AN (n_1216), .Q
(n_1744));
ON211LX1 g86726(.A (n_1410), .B (\temp_data[7] ), .C (n_1412), .D
(n_945), .Q (n_1420));
NA2I1LX1 g86727(.B (n_690), .AN (n_1569), .Q (n_1419));
NO2LX1 g86728(.A (\ir[0] ), .B (n_1411), .Q (n_1418));
OR2LX1 g86729(.A (n_1411), .B (n_602), .Q (n_1417));
ON21LX1 g86730(.A (n_922), .B (n_597), .C (n_1414), .Q (n_1416));
NA2LX1 g86731(.A (page_crossed_1940), .B (n_1660), .Q (n_1415));
NA2LX1 g86732(.A (page_crossed_1940), .B (n_596), .Q (n_1414));
NA2LX1 g86733(.A (n_1535), .B (n_1082), .Q (n_1413));
NA2LX1 g86734(.A (n_1410), .B (\temp_data[7] ), .Q (n_1412));
OR2LX1 g86735(.A (page_crossed_1940), .B (n_597), .Q (n_1411));
OR3LX1 g86736(.A (page_crossed_1940), .B (n_1110), .C (n_588), .Q
(n_1569));
AO22LX1 g86737(.A (n_1409), .B (n_1127), .C (n_1128), .D (\next_pc[6]
), .Q (n_1648));
FAALX1 g86738(.A (\temp_addr[7] ), .B (\index[7] ), .CI (n_1399), .S
(n_1535), .CO (page_crossed_1940));
EO2LX1 g86739(.A (\index[7] ), .B (n_1406), .Q (n_1410));
FAALX1 g86740(.A (\pc[6] ), .B (\index[6] ), .CI (n_1400), .S
(n_1409), .CO (n_1408));
FAALX1 g86741(.A (\temp_data[6] ), .B (\index[6] ), .CI (n_1394), .S
(n_1407), .CO (n_1406));
AO22LX1 g86742(.A (n_1401), .B (n_1127), .C (n_1128), .D (\next_pc[5]
), .Q (n_1658));
AO22LX1 g86743(.A (n_1402), .B (\state[3] ), .C (n_1668), .D (n_690),
.Q (n_1405));
EO3LX1 g86744(.A (n_1395), .B (\temp_data[5] ), .C (\index[5] ), .Q
(n_1404));
AO221LX1 g86745(.A (n_1082), .B (n_1536), .C (n_705), .D (\next_pc[6]
), .E (n_1045), .Q (n_1403));
AO221LX1 g86746(.A (n_945), .B (n_1396), .C (n_1082), .D (n_1538), .E
(n_1234), .Q (n_1402));
FAALX1 g86747(.A (\pc[5] ), .B (\index[5] ), .CI (n_1389), .S
(n_1401), .CO (n_1400));
FAALX1 g86748(.A (\temp_addr[6] ), .B (\index[6] ), .CI (n_1393), .S
(n_1536), .CO (n_1399));
AN221LX1 g86749(.A (\temp_addr[5] ), .B (n_1126), .C (n_1537), .D
(n_686), .E (n_1280), .Q (n_1398));
AO221LX1 g86750(.A (n_1082), .B (n_1537), .C (n_705), .D (\next_pc[5]
), .E (n_1046), .Q (n_1397));
FAALX1 g86751(.A (\index[4] ), .B (\temp_data[4] ), .CI (n_1387), .S
(n_1396), .CO (n_1395));
AO22LX1 g86752(.A (n_1391), .B (\index[5] ), .C (n_1392), .D
(\temp_data[5] ), .Q (n_1394));
AO22LX1 g86753(.A (n_1390), .B (n_1127), .C (n_1128), .D (\next_pc[4]
), .Q (n_1668));
FAALX1 g86754(.A (\temp_addr[5] ), .B (\index[5] ), .CI (n_1384), .S
(n_1537), .CO (n_1393));
NA2LX1 g86755(.A (n_1388), .B (n_1323), .Q (n_1392));
NA3I1LX1 g86756(.B (n_1388), .C (n_1323), .AN (\temp_data[5] ), .Q
(n_1391));
FAALX1 g86757(.A (\pc[4] ), .B (\index[4] ), .CI (n_1379), .S
(n_1390), .CO (n_1389));
ON21LX1 g86758(.A (\index[4] ), .B (\temp_data[4] ), .C (n_1387), .Q
(n_1388));
FAALX1 g86759(.A (\index[3] ), .B (\temp_data[3] ), .CI (n_1375), .S
(n_1386), .CO (n_1387));
AO22LX1 g86760(.A (n_1380), .B (n_1127), .C (n_1128), .D (\next_pc[3]
), .Q (n_1677));
AO21LX1 g86761(.A (\pc[12] ), .B (n_619), .C (n_1383), .Q (n_1748));
AO222LX1 g86762(.A (n_695), .B (n_1168), .C (n_686), .D (n_1539), .E
(n_1182), .F (n_661), .Q (n_1385));
FAALX1 g86763(.A (\temp_addr[4] ), .B (\index[4] ), .CI (n_1377), .S
(n_1538), .CO (n_1384));
AND2LX1 g86764(.A (n_627), .B (n_1749), .Q (n_1383));
AO221LX1 g86765(.A (n_1082), .B (n_1539), .C (\pc[3] ), .D (n_981),
.E (n_1167), .Q (n_1382));
AO211LX1 g86766(.A (n_1376), .B (n_945), .C (n_1040), .D (n_1365), .Q
(n_1381));
FAALX1 g86767(.A (\pc[3] ), .B (\index[3] ), .CI (n_1368), .S
(n_1380), .CO (n_1379));
AO21LX1 g86768(.A (\pc[12] ), .B (\ir[3] ), .C (n_1822), .Q (n_1788));
ON21LX1 g86769(.A (n_1372), .B (n_587), .C (n_1609), .Q (n_1749));
INLX1 g86770(.A (n_1545), .Q (n_1378));
AO221LX1 g86771(.A (n_1611), .B (n_1374), .C (n_568), .D (n_594), .E
(n_569), .Q (n_1545));
NO2LX1 g86772(.A (n_588), .B (n_1372), .Q (n_1755));
NO2I1LX1 g86773(.B (n_1372), .AN (\ir[5] ), .Q (n_1782));
NO2LX1 g86774(.A (\ir[3] ), .B (n_1372), .Q (n_1822));
NA2I1LX1 g86775(.B (\next_pc[12] ), .AN (\ir[5] ), .Q (n_1784));
NO2I1LX1 g86776(.B (n_1372), .AN (\ir[3] ), .Q (n_1783));
NO2I1LX1 g86777(.B (n_1372), .AN (n_582), .Q (n_1781));
NO2I1LX1 g86778(.B (n_1372), .AN (\ir[0] ), .Q (n_1785));
NO2I1LX1 g86779(.B (n_1372), .AN (\ir[2] ), .Q (n_1787));
NO2I1LX1 g86780(.B (n_1372), .AN (n_1850), .Q (n_1754));
FAALX1 g86781(.A (\temp_addr[3] ), .B (\index[3] ), .CI (n_1363), .S
(n_1539), .CO (n_1377));
FAALX1 g86782(.A (\index[2] ), .B (\temp_data[2] ), .CI (n_1361), .S
(n_1376), .CO (n_1375));
ON21LX1 g86783(.A (n_1367), .B (n_587), .C (n_1611), .Q (n_1790));
AO21LX1 g86784(.A (\pc[11] ), .B (\ir[3] ), .C (n_1866), .Q (n_1865));
ON21LX1 g86785(.A (\next_pc[11] ), .B (n_568), .C (n_611), .Q
(n_1374));
AO22LX1 g86786(.A (n_1370), .B (\state[3] ), .C (n_1699), .D (n_690),
.Q (n_1373));
AO22LX1 g86787(.A (n_1127), .B (n_1369), .C (n_1128), .D (\next_pc[2]
), .Q (n_1688));
INLX1 g86791(.A (\next_pc[12] ), .Q (n_1372));
EO2LX1 g86797(.A (\pc[12] ), .B (n_1366), .Q (\next_pc[12] ));
NO2LX1 g86798(.A (n_588), .B (n_1367), .Q (n_1817));
NA2I1LX1 g86799(.B (\next_pc[11] ), .AN (\ir[5] ), .Q (n_1837));
NO2I1LX1 g86800(.B (n_1367), .AN (\ir[5] ), .Q (n_1823));
NO2LX1 g86801(.A (\ir[3] ), .B (n_1367), .Q (n_1866));
NO2I1LX1 g86802(.B (n_1367), .AN (\ir[3] ), .Q (n_1827));
NO2I1LX1 g86803(.B (n_1367), .AN (\ir[2] ), .Q (n_1824));
NO2I1LX1 g86804(.B (n_1367), .AN (n_582), .Q (n_1820));
NO2I1LX1 g86805(.B (n_1367), .AN (\ir[0] ), .Q (n_1840));
NO2I1LX1 g86806(.B (n_1367), .AN (n_1850), .Q (n_1816));
AO21LX1 g86807(.A (n_1909), .B (n_619), .C (n_1371), .Q (n_1867));
NO2I1LX1 g86808(.B (n_1534), .AN (n_1868), .Q (n_1371));
AO211LX1 g86809(.A (n_1362), .B (n_945), .C (n_1041), .D (n_1359), .Q
(n_1370));
FAALX1 g86810(.A (\pc[2] ), .B (\index[2] ), .CI (n_1354), .S
(n_1369), .CO (n_1368));
INLX1 g86814(.A (\next_pc[11] ), .Q (n_1367));
HAALX1 g86820(.A (n_1360), .B (\pc[11] ), .S (\next_pc[11] ), .CO
(n_1366));
AO22LX1 g86821(.A (n_1540), .B (n_1082), .C (n_705), .D (\next_pc[2]
), .Q (n_1365));
AO21LX1 g86822(.A (\next_pc[10] ), .B (n_588), .C (n_1869), .Q
(n_1868));
AO21LX1 g86823(.A (n_1909), .B (\ir[3] ), .C (n_1882), .Q (n_1881));
NA2LX1 g86824(.A (\next_pc[10] ), .B (n_587), .Q (n_1870));
NA2I1LX1 g86825(.B (\next_pc[10] ), .AN (\ir[5] ), .Q (n_1878));
AND2LX1 g86826(.A (\next_pc[10] ), .B (\ir[5] ), .Q (n_1876));
NO2I1LX1 g86827(.B (\ir[3] ), .AN (\next_pc[10] ), .Q (n_1882));
AND2LX1 g86828(.A (\next_pc[10] ), .B (\ir[3] ), .Q (n_1877));
AND2LX1 g86829(.A (\next_pc[10] ), .B (n_582), .Q (n_1875));
AND2LX1 g86830(.A (\next_pc[10] ), .B (\ir[2] ), .Q (n_1880));
AND2LX1 g86831(.A (\next_pc[10] ), .B (\ir[0] ), .Q (n_1879));
AND2LX1 g86832(.A (\next_pc[10] ), .B (n_1850), .Q (n_1873));
INLX1 g86833(.A (n_1562), .Q (n_1364));
AO221LX1 g86834(.A (n_1614), .B (n_1358), .C (n_568), .D (n_589), .E
(n_569), .Q (n_1562));
FAALX1 g86835(.A (\temp_addr[2] ), .B (\index[2] ), .CI (n_1352), .S
(n_1540), .CO (n_1363));
FAALX1 g86836(.A (\index[1] ), .B (\temp_data[1] ), .CI (n_1340), .S
(n_1362), .CO (n_1361));
AO22LX1 g86837(.A (n_1127), .B (n_1351), .C (n_1128), .D (\next_pc[1]
), .Q (n_1699));
HAALX1 g86838(.A (n_1346), .B (n_1909), .S (\next_pc[10] ), .CO
(n_1360));
AO22LX1 g86839(.A (n_1541), .B (n_1082), .C (n_1700), .D (n_569), .Q
(n_1359));
ON21LX1 g86840(.A (n_1349), .B (n_587), .C (n_1614), .Q (n_1883));
AO21LX1 g86841(.A (\pc[9] ), .B (\ir[3] ), .C (n_1894), .Q (n_1893));
ON21LX1 g86842(.A (n_1348), .B (n_568), .C (n_611), .Q (n_1358));
NO2LX1 g86843(.A (n_588), .B (n_1349), .Q (n_1887));
NO2LX1 g86844(.A (\ir[5] ), .B (n_1349), .Q (n_1885));
NO2I1LX1 g86845(.B (n_1349), .AN (\ir[5] ), .Q (n_1889));
NO2LX1 g86846(.A (\ir[3] ), .B (n_1349), .Q (n_1894));
NO2I1LX1 g86847(.B (n_1349), .AN (\ir[3] ), .Q (n_1890));
NO2I1LX1 g86848(.B (n_1349), .AN (\ir[2] ), .Q (n_1892));
NO2I1LX1 g86849(.B (n_1349), .AN (n_582), .Q (n_1888));
NO2I1LX1 g86850(.B (n_1349), .AN (\ir[0] ), .Q (n_1891));
NO2I1LX1 g86851(.B (n_1349), .AN (n_1850), .Q (n_1886));
AO221LX1 g86852(.A (\pc[0] ), .B (n_981), .C (\temp_addr[0] ), .D
(n_891), .E (n_1345), .Q (n_1357));
ON221LX1 g86853(.A (n_1263), .B (n_571), .C (n_1339), .D (n_1860), .E
(n_1333), .Q (n_1356));
AN21LX1 g86854(.A (n_568), .B (n_1343), .C (n_1659), .Q (n_1355));
AO22LX1 g86855(.A (n_1342), .B (\index[1] ), .C (n_715), .D
(\index[0] ), .Q (n_1354));
AN222LX1 g86856(.A (n_1543), .B (n_686), .C (n_782), .D (n_577), .E
(\temp_addr[0] ), .F (n_1085), .Q (n_1353));
FAALX1 g86857(.A (\temp_addr[1] ), .B (\index[1] ), .CI (n_1335), .S
(n_1541), .CO (n_1352));
EN3LX1 g86858(.A (\pc[1] ), .B (n_1328), .C (\index[1] ), .Q
(n_1351));
ON221LX1 g86859(.A (n_730), .B (n_1566), .C (n_608), .D (\sp[7] ), .E
(n_1334), .Q (n_1350));
INLX1 g86864(.A (n_1348), .Q (n_1349));
HAALX1 g86868(.A (\pc[9] ), .B (n_1331), .S (n_1348), .CO (n_1346));
ON21LX1 g86869(.A (n_623), .B (n_1746), .C (n_1344), .Q (n_1345));
NA2LX1 g86870(.A (n_1082), .B (n_1543), .Q (n_1344));
AO221LX1 g86871(.A (n_1329), .B (n_651), .C (n_1311), .D (n_587), .E
(n_1290), .Q (n_1343));
AO32LX1 g86872(.A (n_1324), .B (\pc[0] ), .C (n_1127), .D (n_577), .E
(n_1330), .Q (n_1709));
INLX1 g86873(.A (n_1332), .Q (n_1342));
FAALX1 g86874(.A (\index[0] ), .B (\temp_data[0] ), .CI (n_776), .S
(n_1341), .CO (n_1340));
AN21LX1 g86875(.A (n_1024), .B (data_in[7]), .C (n_1640), .Q
(n_1339));
ON221LX1 g86876(.A (n_723), .B (n_1568), .C (n_1033), .D
(\sp_minus_one[6] ), .E (n_1327), .Q (n_1338));
AO221LX1 g86877(.A (n_1264), .B (\pc[6] ), .C (\temp_addr[6] ), .D
(n_1106), .E (n_1326), .Q (n_1337));
AN222LX1 g86878(.A (\pc[7] ), .B (n_981), .C (n_891), .D
(\temp_addr[7] ), .E (n_705), .F (\next_pc[7] ), .Q (n_1336));
HAALX1 g86879(.A (\index[0] ), .B (\temp_addr[0] ), .S (n_1543), .CO
(n_1335));
OA221LX1 g86880(.A (\pc[7] ), .B (n_1050), .C (n_704), .D
(\next_pc[7] ), .E (n_683), .Q (n_1334));
AN22LX1 g86881(.A (\next_pc[7] ), .B (n_1218), .C (n_1106), .D
(\temp_addr[7] ), .Q (n_1333));
MU2LX1 g86882(.S (\ir[3] ), .IN0 (\next_pc[7] ), .IN1 (\pc[7] ), .Q
(n_1642));
NO2I1LX1 g86883(.B (n_611), .AN (\next_pc[7] ), .Q (n_1640));
AND2LX1 g86884(.A (\next_pc[7] ), .B (n_587), .Q (n_1633));
AND2LX1 g86885(.A (\next_pc[7] ), .B (n_1660), .Q (n_1565));
NO2I1LX1 g86886(.B (\pc[1] ), .AN (n_1328), .Q (n_1332));
AND2LX1 g86887(.A (\pc[8] ), .B (n_1325), .Q (n_1331));
AO21LX1 g86888(.A (n_588), .B (\index[0] ), .C (n_1128), .Q (n_1330));
AO221LX1 g86889(.A (n_1315), .B (n_582), .C (n_636), .D (n_1130), .E
(n_1320), .Q (n_1329));
NA2LX1 g86890(.A (\pc[0] ), .B (\index[0] ), .Q (n_1328));
OA221LX1 g86891(.A (n_704), .B (\next_pc[6] ), .C (n_608), .D (\sp[6]
), .E (n_1158), .Q (n_1327));
AO322LX1 g86892(.A (data_in[6]), .B (n_621), .C (n_1024), .D (n_649),
.E (n_1644), .F (\next_pc[6] ), .G (n_1218), .Q (n_1326));
HAALX1 g86893(.A (\pc[7] ), .B (n_1293), .S (\next_pc[7] ), .CO
(n_1325));
INLX1 g86896(.A (\index[0] ), .Q (n_1324));
NO2I1LX1 g86898(.B (n_568), .AN (n_1644), .Q (n_1649));
NA2LX1 g86899(.A (\index[4] ), .B (\temp_data[4] ), .Q (n_1323));
NO3X1 g86900(.A (n_1072), .B (n_1186), .C (n_1289), .Q (alu_a[7]));
NO2X1 g86901(.A (n_1070), .B (n_1317), .Q (alu_a[5]));
NO3LX1 g86902(.A (n_1063), .B (n_1186), .C (n_1288), .Q (alu_a[0]));
ON21LX1 g86903(.A (\sp_minus_one[7] ), .B (n_1033), .C (n_581), .Q
(n_1322));
ON221LX1 g86904(.A (n_724), .B (n_1571), .C (n_1033), .D
(\sp_minus_one[5] ), .E (n_1305), .Q (n_1321));
AO322LX1 g86905(.A (n_983), .B (n_655), .C (\next_pc[5] ), .D (\pc[5]
), .E (n_979), .F (n_708), .G (n_1298), .Q (n_1320));
AO221LX1 g86906(.A (n_1300), .B (n_596), .C (data_in[4]), .D
(n_1024), .E (n_1239), .Q (n_1319));
OA211LX1 g86907(.A (\temp_addr[8] ), .B (n_569), .C (n_1301), .D
(n_659), .Q (n_1318));
MU2LX1 g86908(.S (\ir[3] ), .IN0 (\next_pc[6] ), .IN1 (\pc[6] ), .Q
(n_1652));
AND2LX1 g86913(.A (\next_pc[6] ), .B (n_587), .Q (n_1644));
AND2LX1 g86914(.A (\next_pc[6] ), .B (n_1660), .Q (n_1567));
NO3X1 g86915(.A (n_1069), .B (n_1186), .C (n_1279), .Q (alu_a[4]));
NO2LX1 g86916(.A (n_1067), .B (n_1304), .Q (alu_a[3]));
NO2LX1 g86917(.A (n_1066), .B (n_1303), .Q (alu_a[2]));
NO2X1 g86918(.A (n_1064), .B (n_1302), .Q (alu_a[1]));
ON221LX1 g86919(.A (\temp_data[5] ), .B (n_1533), .C (data_in[5]), .D
(n_623), .E (n_1185), .Q (n_1317));
ON211LX1 g86920(.A (n_597), .B (n_1265), .C (n_599), .D (n_1232), .Q
(n_1316));
AO222LX1 g86921(.A (n_906), .B (\next_pc[5] ), .C (n_1281), .D
(n_664), .E (n_636), .F (n_893), .Q (n_1315));
AO222LX1 g86922(.A (n_1246), .B (alu_y[0]), .C (n_1247), .D
(alu_x[0]), .E (\temp_data[0] ), .F (n_860), .Q (n_1314));
AO222LX1 g86923(.A (n_1246), .B (alu_y[5]), .C (n_1247), .D
(alu_x[5]), .E (\temp_data[5] ), .F (n_860), .Q (n_1313));
AO222LX1 g86924(.A (n_1246), .B (alu_y[7]), .C (n_1247), .D
(alu_x[7]), .E (\temp_data[7] ), .F (n_860), .Q (n_1312));
AO22LX1 g86925(.A (n_750), .B (n_1281), .C (n_1590), .D (n_636), .Q
(n_1311));
OA211LX1 g86926(.A (\temp_addr[9] ), .B (n_569), .C (n_1286), .D
(n_659), .Q (n_1310));
OA211LX1 g86927(.A (\temp_addr[10] ), .B (n_569), .C (n_1287), .D
(n_659), .Q (n_1309));
OA211LX1 g86928(.A (\temp_addr[12] ), .B (n_569), .C (n_1285), .D
(n_659), .Q (n_1308));
OA211LX1 g86929(.A (\temp_addr[11] ), .B (n_569), .C (n_1284), .D
(n_659), .Q (n_1307));
NA2LX1 g86930(.A (n_677), .B (n_1283), .Q (n_1306));
OA221LX1 g86931(.A (n_704), .B (\next_pc[5] ), .C (n_608), .D (\sp[5]
), .E (n_1159), .Q (n_1305));
ON221LX1 g86932(.A (\temp_data[3] ), .B (n_1533), .C (data_in[3]), .D
(n_623), .E (n_1185), .Q (n_1304));
ON221LX1 g86933(.A (\temp_data[2] ), .B (n_1533), .C (data_in[2]), .D
(n_623), .E (n_1185), .Q (n_1303));
ON221LX1 g86934(.A (\temp_data[1] ), .B (n_1533), .C (data_in[1]), .D
(n_623), .E (n_1185), .Q (n_1302));
AO21LX1 g86935(.A (n_1084), .B (\temp_data[0] ), .C (n_1191), .Q
(n_1301));
ON211LX1 g86936(.A (\ir[0] ), .B (n_1253), .C (n_1267), .D (n_1254),
.Q (n_1300));
ON211LX1 g86937(.A (n_597), .B (n_1266), .C (n_1225), .D (n_1114), .Q
(n_1299));
AO222LX1 g86938(.A (n_925), .B (\next_pc[5] ), .C (n_855), .D (\sp[5]
), .E (n_856), .F (\pc[5] ), .Q (n_1298));
AO222LX1 g86939(.A (n_1246), .B (alu_y[1]), .C (n_1247), .D
(alu_x[1]), .E (\temp_data[1] ), .F (n_860), .Q (n_1297));
AO222LX1 g86940(.A (n_1246), .B (alu_y[2]), .C (n_1247), .D
(alu_x[2]), .E (\temp_data[2] ), .F (n_860), .Q (n_1296));
AO222LX1 g86941(.A (n_1246), .B (alu_y[3]), .C (n_1247), .D
(alu_x[3]), .E (\temp_data[3] ), .F (n_860), .Q (n_1295));
AO222LX1 g86942(.A (n_1246), .B (alu_y[4]), .C (n_1247), .D
(alu_x[4]), .E (\temp_data[4] ), .F (n_860), .Q (n_1294));
HAALX1 g86943(.A (\pc[6] ), .B (n_1251), .S (\next_pc[6] ), .CO
(n_1293));
AO22LX1 g86944(.A (n_1084), .B (\temp_data[5] ), .C (n_885), .D
(\temp_addr[5] ), .Q (n_1292));
AO22LX1 g86945(.A (n_1084), .B (\temp_data[7] ), .C (n_885), .D
(\temp_addr[7] ), .Q (n_1291));
ON22LX1 g86946(.A (n_1278), .B (n_820), .C (n_985), .D (n_1607), .Q
(n_1290));
ON22LX1 g86947(.A (n_1533), .B (\temp_data[7] ), .C (n_623), .D
(data_in[7]), .Q (n_1289));
ON22LX1 g86948(.A (n_1533), .B (\temp_data[0] ), .C (n_623), .D
(data_in[0]), .Q (n_1288));
EO2LX1 g86949(.A (\sp[7] ), .B (n_1261), .Q (\sp_minus_one[7] ));
AO21LX1 g86957(.A (n_1084), .B (\temp_data[2] ), .C (n_1193), .Q
(n_1287));
AO21LX1 g86958(.A (n_1084), .B (\temp_data[1] ), .C (n_1192), .Q
(n_1286));
AO21LX1 g86959(.A (n_1084), .B (\temp_data[4] ), .C (n_1188), .Q
(n_1285));
AO21LX1 g86960(.A (n_1084), .B (\temp_data[3] ), .C (n_1194), .Q
(n_1284));
OA211LX1 g86961(.A (n_1853), .B (n_1240), .C (n_1087), .D (n_1003),
.Q (n_1283));
AN222LX1 g86962(.A (n_661), .B (n_1711), .C (n_784), .D
(\temp_addr[0] ), .E (n_1252), .F (n_763), .Q (n_1282));
AO22LX1 g86963(.A (\next_pc[5] ), .B (n_837), .C (n_854), .D (n_636),
.Q (n_1281));
AO22LX1 g86964(.A (n_1086), .B (\pc[5] ), .C (\next_pc[5] ), .D
(n_782), .Q (n_1280));
ON22LX1 g86965(.A (n_1533), .B (\temp_data[4] ), .C (n_623), .D
(data_in[4]), .Q (n_1279));
MU2LX1 g86966(.S (\ir[3] ), .IN0 (\next_pc[5] ), .IN1 (\pc[5] ), .Q
(n_1662));
NO2I1LX1 g86971(.B (n_611), .AN (\next_pc[5] ), .Q (n_1659));
INLX1 g86972(.A (n_1278), .Q (n_1654));
NA2LX1 g86973(.A (\next_pc[5] ), .B (n_587), .Q (n_1278));
AND2LX1 g86974(.A (\next_pc[5] ), .B (n_1660), .Q (n_1570));
ON221LX1 g86975(.A (n_1230), .B (n_773), .C (n_1033), .D
(\sp_minus_one[4] ), .E (n_1163), .Q (n_1277));
AN21LX1 g86976(.A (n_1144), .B (n_1245), .C (n_1815), .Q (n_1276));
AN21LX1 g86977(.A (n_1139), .B (n_1243), .C (n_1815), .Q (n_1275));
AN21LX1 g86978(.A (n_1142), .B (n_1244), .C (n_1815), .Q (n_1274));
AN21LX1 g86979(.A (n_1146), .B (n_1249), .C (n_1815), .Q (n_1273));
AN21LX1 g86980(.A (n_1140), .B (n_1248), .C (n_1815), .Q (n_1272));
AN31LX1 g86981(.A (n_1101), .B (n_1164), .C (n_1242), .D (n_1815), .Q
(n_1271));
AN31LX1 g86982(.A (n_1099), .B (n_1165), .C (n_1241), .D (n_1815), .Q
(n_1270));
AO221LX1 g86983(.A (\pc[4] ), .B (n_1011), .C (\temp_addr[4] ), .D
(n_1010), .E (n_1237), .Q (n_1269));
ON211LX1 g86984(.A (n_1587), .B (n_720), .C (n_1224), .D (n_581), .Q
(n_1268));
AN221LX1 g86985(.A (n_910), .B (\sp[4] ), .C (n_1074), .D (n_639), .E
(n_1223), .Q (n_1267));
NA4I2LX1 g86986(.C (n_1051), .D (n_1256), .AN (n_989), .BN (n_748),
.Q (n_1729));
AN222LX1 g86987(.A (n_1212), .B (\pc[1] ), .C (n_842), .D (n_1702),
.E (n_1214), .F (\sp[1] ), .Q (n_1266));
AN222LX1 g86988(.A (n_1212), .B (\pc[2] ), .C (n_1691), .D (n_842),
.E (n_1214), .F (\sp[2] ), .Q (n_1265));
INLX1 g86989(.A (n_1263), .Q (n_1264));
AN22LX1 g86990(.A (n_640), .B (n_1236), .C (n_1011), .D (n_603), .Q
(n_1263));
INLX1 g86991(.A (n_1262), .Q (\sp_minus_one[6] ));
HAALX1 g86992(.A (n_585), .B (n_1210), .S (n_1262), .CO (n_1261));
NO2LX1 g86997(.A (n_1233), .B (n_1815), .Q (n_1260));
AND2LX1 g86998(.A (n_1235), .B (data_in[0]), .Q (n_1259));
AND2LX1 g86999(.A (n_1235), .B (data_in[5]), .Q (n_1258));
AND2LX1 g87000(.A (n_1235), .B (data_in[7]), .Q (n_1257));
NO3I1LX1 g87001(.B (n_807), .C (n_1238), .AN (n_838), .Q (n_1256));
AN21LX1 g87002(.A (n_884), .B (n_1220), .C (n_1180), .Q (n_1255));
ON222LX1 g87003(.A (n_1601), .B (\next_pc[4] ), .C (n_639), .D
(n_781), .E (n_833), .F (n_832), .Q (n_1254));
AN222LX1 g87004(.A (n_995), .B (\next_pc[4] ), .C (n_979), .D (\pc[4]
), .E (n_639), .F (n_994), .Q (n_1253));
ON222LX1 g87005(.A (n_1044), .B (n_588), .C (n_1213), .D (n_650), .E
(n_1746), .F (n_965), .Q (n_1252));
HAX1 g87006(.A (n_1195), .B (\pc[5] ), .S (\next_pc[5] ), .CO
(n_1251));
NO2I1LX1 g87007(.B (n_1853), .AN (n_1214), .Q (n_1250));
ON211LX1 g87008(.A (alu_result[0]), .B (n_1124), .C (n_1222), .D
(n_1157), .Q (n_1249));
ON211LX1 g87009(.A (alu_result[1]), .B (n_1122), .C (n_1221), .D
(n_1156), .Q (n_1248));
AND2LX1 g87010(.A (n_839), .B (index_is_x), .Q (n_1247));
NO2I1LX1 g87011(.B (index_is_x), .AN (n_839), .Q (n_1246));
AO21LX1 g87012(.A (n_1209), .B (n_1143), .C (n_1174), .Q (n_1245));
AO21LX1 g87013(.A (n_1207), .B (n_1148), .C (n_1173), .Q (n_1244));
AO21LX1 g87014(.A (n_1208), .B (n_1141), .C (n_1172), .Q (n_1243));
ON211LX1 g87015(.A (n_1076), .B (n_1180), .C (n_1147), .D (n_976), .Q
(n_1745));
ON311LX1 g87016(.A (alu_status[7]), .B (n_978), .C (n_1006), .D
(n_1178), .E (n_1217), .Q (n_1242));
NO5I4LX1 g87017(.E (n_1220), .AN (n_1181), .BN (n_1835), .CN (n_777),
.DN (n_988), .Q (n_1843));
ON311LX1 g87018(.A (alu_status[6]), .B (n_978), .C (n_1006), .D
(n_1178), .E (n_1215), .Q (n_1241));
OA221LX1 g87019(.A (n_1170), .B (n_1741), .C (n_565), .D (n_853), .E
(n_1098), .Q (n_1240));
AO211LX1 g87020(.A (n_628), .B (\temp_addr[4] ), .C (n_598), .D
(n_1669), .Q (n_1239));
AN21LX1 g87021(.A (n_1836), .B (n_1205), .C (n_1853), .Q (n_1238));
AO21LX1 g87022(.A (\next_pc[4] ), .B (n_628), .C (n_613), .Q
(n_1237));
AO21LX1 g87023(.A (n_842), .B (\ir[3] ), .C (n_1212), .Q (n_1236));
ON21LX1 g87024(.A (n_1200), .B (n_588), .C (n_739), .Q (n_1235));
AO222LX1 g87025(.A (\pc[4] ), .B (n_981), .C (n_705), .D (\next_pc[4]
), .E (\temp_addr[4] ), .F (n_891), .Q (n_1234));
AN221LX1 g87026(.A (n_1055), .B (alu_result[5]), .C (n_1203), .D
(n_1153), .E (n_1100), .Q (n_1233));
AN222LX1 g87027(.A (data_in[2]), .B (n_1024), .C (n_628), .D
(\temp_addr[2] ), .E (\next_pc[2] ), .F (n_1202), .Q (n_1232));
AN221LX1 g87028(.A (n_637), .B (n_1074), .C (n_833), .D (n_1166), .E
(n_1197), .Q (n_1231));
AO221LX1 g87029(.A (n_642), .B (\next_pc[4] ), .C (\pc[4] ), .D
(n_653), .E (n_573), .Q (n_1230));
ON22LX1 g87030(.A (n_1200), .B (n_1614), .C (n_739), .D (n_593), .Q
(n_1229));
AO22LX1 g87031(.A (n_1201), .B (n_1869), .C (n_738), .D (data_in[2]),
.Q (n_1228));
ON22LX1 g87032(.A (n_1200), .B (n_1611), .C (n_739), .D (n_595), .Q
(n_1227));
ON22LX1 g87033(.A (n_1200), .B (n_1609), .C (n_739), .D (n_592), .Q
(n_1226));
AN22LX1 g87034(.A (n_1202), .B (\next_pc[1] ), .C (n_628), .D
(\temp_addr[1] ), .Q (n_1225));
OA221LX1 g87035(.A (n_608), .B (\sp[3] ), .C (n_1033), .D (n_926), .E
(n_1196), .Q (n_1224));
AO32LX1 g87036(.A (n_856), .B (\pc[4] ), .C (n_734), .D (\next_pc[4]
), .E (n_1079), .Q (n_1223));
MU2LX1 g87037(.S (\ir[3] ), .IN0 (\next_pc[4] ), .IN1 (\pc[4] ), .Q
(n_1671));
AND2LX1 g87039(.A (\next_pc[4] ), .B (n_1679), .Q (n_1669));
NA2LX1 g87040(.A (\next_pc[4] ), .B (n_587), .Q (n_1753));
AND2LX1 g87041(.A (\next_pc[4] ), .B (n_1660), .Q (n_1577));
NO3LX1 g87042(.A (n_1071), .B (n_1186), .C (n_714), .Q (alu_a[6]));
ON21LX1 g87043(.A (n_972), .B (n_1016), .C (n_1199), .Q (n_1222));
ON21LX1 g87044(.A (n_968), .B (n_1017), .C (n_1198), .Q (n_1221));
NA5I1LX1 g87045(.B (n_1874), .C (n_884), .D (n_1838), .E (n_746), .AN
(n_1205), .Q (n_1220));
OR2X2 g87046(.A (n_1177), .B (n_1190), .Q (alu_enable));
AN321LX1 g87047(.A (n_1166), .B (n_582), .C (n_742), .D (n_637), .E
(n_994), .F (n_1169), .Q (n_1219));
AO21LX1 g87048(.A (n_1176), .B (n_640), .C (n_770), .Q (n_1218));
AO21LX1 g87049(.A (n_1187), .B (alu_status[7]), .C (alu_result[7]),
.Q (n_1217));
AN21LX1 g87050(.A (n_1181), .B (n_746), .C (n_1853), .Q (n_1216));
AO21LX1 g87051(.A (n_1187), .B (alu_status[6]), .C (alu_result[6]),
.Q (n_1215));
AO21LX1 g87052(.A (n_1184), .B (n_572), .C (n_910), .Q (n_1214));
AN221LX1 g87053(.A (n_638), .B (n_1130), .C (n_582), .D (n_1149), .E
(n_1131), .Q (n_1213));
AO222LX1 g87054(.A (n_631), .B (n_979), .C (reset_n), .D (n_1184), .E
(n_751), .F (n_734), .Q (n_1212));
INLX1 g87055(.A (n_1211), .Q (\sp_minus_one[5] ));
HAALX1 g87056(.A (n_574), .B (n_1135), .S (n_1211), .CO (n_1210));
ON21LX1 g87057(.A (n_911), .B (n_1154), .C (alu_status[2]), .Q
(n_1209));
ON21LX1 g87058(.A (n_921), .B (n_1154), .C (alu_status[3]), .Q
(n_1208));
ON21LX1 g87059(.A (n_919), .B (n_1154), .C (alu_status[4]), .Q
(n_1207));
NA5I4LX1 g87060(.E (n_1073), .AN (n_1060), .BN (n_1594), .CN (n_664),
.DN (n_1692), .Q (n_1206));
AO21LX1 g87061(.A (n_845), .B (n_793), .C (n_1839), .Q (n_1205));
OA211LX1 g87062(.A (\sp[0] ), .B (n_608), .C (n_1179), .D (n_882), .Q
(n_1204));
AO311LX1 g87063(.A (n_661), .B (n_935), .C (n_1080), .D (n_1175), .E
(n_952), .Q (alu_opcode[3]));
ON21LX1 g87064(.A (n_1150), .B (\ir[0] ), .C (n_1096), .Q (n_1203));
ON21LX1 g87065(.A (n_1151), .B (n_597), .C (n_611), .Q (n_1202));
INLX1 g87067(.A (n_1200), .Q (n_1201));
OA32LX1 g87069(.A (n_652), .B (n_666), .C (n_1617), .D (n_580), .E
(n_697), .Q (n_1200));
AN222LX1 g87070(.A (alu_status[0]), .B (n_1119), .C (\pc[8] ), .D
(n_902), .E (n_947), .F (alu_result[0]), .Q (n_1199));
AN222LX1 g87071(.A (alu_status[1]), .B (n_1119), .C (\pc[9] ), .D
(n_902), .E (n_947), .F (alu_result[1]), .Q (n_1198));
AO322LX1 g87072(.A (n_856), .B (\pc[3] ), .C (n_734), .D (\next_pc[3]
), .E (n_1079), .F (n_910), .G (\sp[3] ), .Q (n_1197));
OA221LX1 g87073(.A (n_704), .B (\next_pc[3] ), .C (\pc[3] ), .D
(n_1050), .E (n_683), .Q (n_1196));
HAALX1 g87074(.A (n_1095), .B (\pc[4] ), .S (\next_pc[4] ), .CO
(n_1195));
OA221LX1 g87075(.A (\temp_addr[3] ), .B (n_610), .C (n_625), .D
(\pc[11] ), .E (n_1129), .Q (n_1194));
OA221LX1 g87076(.A (\temp_addr[2] ), .B (n_610), .C (n_625), .D
(n_1909), .E (n_1129), .Q (n_1193));
OA221LX1 g87077(.A (\temp_addr[1] ), .B (n_610), .C (n_625), .D
(\pc[9] ), .E (n_1155), .Q (n_1192));
OA221LX1 g87078(.A (\temp_addr[0] ), .B (n_610), .C (n_625), .D
(\pc[8] ), .E (n_1155), .Q (n_1191));
ON333LX1 g87079(.A (n_733), .B (n_703), .C (n_1081), .D (n_1137), .E
(n_610), .F (n_607), .G (n_567), .H (n_1738), .J (n_1853), .Q
(n_1190));
OA22LX1 g87080(.A (n_1132), .B (n_665), .C (\sp_minus_one[1] ), .D
(n_1094), .Q (n_1189));
OA221LX1 g87081(.A (\temp_addr[4] ), .B (n_610), .C (n_625), .D
(\pc[12] ), .E (n_1129), .Q (n_1188));
AO32X1 g87082(.A (n_572), .B (n_1058), .C (n_582), .D (n_1145), .E
(n_680), .Q (alu_opcode[1]));
AN21LX1 g87083(.A (n_1125), .B (n_1029), .C (n_1853), .Q (n_1187));
NO2I1LX1 g87084(.B (n_748), .AN (n_1137), .Q (n_1839));
INLX1 g87087(.A (n_1186), .Q (n_1185));
NA2I1X1 g87089(.B (n_625), .AN (n_1137), .Q (n_1186));
AND4X1 g87090(.A (n_1057), .B (n_1111), .C (n_1048), .D (n_912), .Q
(alu_opcode[7]));
ON211LX1 g87091(.A (n_916), .B (n_657), .C (n_1008), .D (n_1093), .Q
(n_1184));
ON21LX1 g87092(.A (n_745), .B (n_1047), .C (n_1138), .Q (n_1183));
ON211LX1 g87093(.A (n_758), .B (n_622), .C (n_898), .D (n_1103), .Q
(n_1750));
NO3I2LX1 g87094(.C (n_649), .AN (n_1102), .BN (n_1038), .Q
(alu_opcode[0]));
AO21LX1 g87095(.A (n_1024), .B (data_in[3]), .C (n_1678), .Q
(n_1182));
AN21LX1 g87096(.A (n_1118), .B (n_699), .C (n_1104), .Q (n_1181));
NA4I2LX1 g87097(.C (n_988), .D (n_587), .AN (n_1104), .BN (n_703), .Q
(n_1180));
AND4X1 g87098(.A (n_1117), .B (\ir[5] ), .C (n_1013), .D (n_912), .Q
(alu_opcode[5]));
ON211LX1 g87099(.A (n_1036), .B (n_1534), .C (n_907), .D (n_689), .Q
(n_1179));
AN32LX1 g87100(.A (n_950), .B (n_977), .C (n_1125), .D (n_1853), .E
(n_1026), .Q (n_1178));
ON21LX1 g87101(.A (n_1650), .B (n_769), .C (n_1160), .Q (n_1177));
AO32LX1 g87102(.A (n_912), .B (n_1092), .C (n_971), .D (n_929), .E
(n_830), .Q (alu_opcode[2]));
ON21LX1 g87103(.A (n_841), .B (\ir[3] ), .C (n_1151), .Q (n_1176));
AN21LX1 g87104(.A (n_1091), .B (\sp[7] ), .C (n_1152), .Q (n_1566));
AN211LX1 g87105(.A (n_1109), .B (n_886), .C (n_851), .D (n_954), .Q
(n_1175));
ON221LX1 g87106(.A (n_1123), .B (alu_result[2]), .C (data_in[2]), .D
(n_625), .E (n_1105), .Q (n_1174));
ON221LX1 g87107(.A (n_1120), .B (alu_result[4]), .C (data_in[4]), .D
(n_625), .E (n_1105), .Q (n_1173));
ON221LX1 g87108(.A (n_1121), .B (alu_result[3]), .C (data_in[3]), .D
(n_625), .E (n_1105), .Q (n_1172));
AN221LX1 g87109(.A (n_606), .B (n_658), .C (n_1115), .D (n_777), .E
(n_782), .Q (n_1171));
AN22LX1 g87110(.A (n_1108), .B (reset_n), .C (n_707), .D (\ir[3] ),
.Q (n_1170));
AO22LX1 g87111(.A (\next_pc[3] ), .B (n_995), .C (n_979), .D (\pc[3]
), .Q (n_1169));
AO22LX1 g87112(.A (n_1011), .B (\pc[3] ), .C (\next_pc[3] ), .D
(n_628), .Q (n_1168));
AO22LX1 g87113(.A (\next_pc[3] ), .B (n_705), .C (n_891), .D
(\temp_addr[3] ), .Q (n_1167));
MU2LX1 g87114(.S (\ir[3] ), .IN0 (\next_pc[3] ), .IN1 (\pc[3] ), .Q
(n_1681));
MU2LX1 g87115(.S (n_781), .IN0 (n_637), .IN1 (\next_pc[3] ), .Q
(n_1166));
NO2I1LX1 g87116(.B (n_611), .AN (\next_pc[3] ), .Q (n_1678));
NA2LX1 g87117(.A (\next_pc[3] ), .B (n_587), .Q (n_1780));
NA2LX1 g87118(.A (alu_result[6]), .B (n_1107), .Q (n_1165));
NA2LX1 g87119(.A (alu_result[7]), .B (n_1107), .Q (n_1164));
AND2LX1 g87120(.A (\next_pc[3] ), .B (n_1660), .Q (n_1586));
AN21LX1 g87121(.A (n_1023), .B (n_665), .C (n_1112), .Q (n_1163));
AO221LX1 g87122(.A (\pc[1] ), .B (n_1011), .C (\temp_addr[1] ), .D
(n_1010), .E (n_905), .Q (n_1162));
AO221LX1 g87123(.A (\pc[2] ), .B (n_1011), .C (\temp_addr[2] ), .D
(n_1010), .E (n_1062), .Q (n_1161));
ON31LX1 g87124(.A (n_717), .B (n_890), .C (n_1054), .D (n_964), .Q
(n_1160));
OA21LX1 g87125(.A (n_1050), .B (\pc[5] ), .C (n_683), .Q (n_1159));
OA21LX1 g87126(.A (n_1050), .B (\pc[6] ), .C (n_683), .Q (n_1158));
OA21LX1 g87127(.A (n_625), .B (data_in[0]), .C (n_1105), .Q (n_1157));
OA21LX1 g87128(.A (n_625), .B (data_in[1]), .C (n_1105), .Q (n_1156));
ON31LX1 g87129(.A (n_987), .B (n_944), .C (n_1052), .D (n_569), .Q
(n_1155));
ON211LX1 g87130(.A (n_853), .B (n_767), .C (n_993), .D (n_1090), .Q
(n_1154));
AND3LX1 g87131(.A (n_1118), .B (n_699), .C (n_621), .Q (n_1617));
AO32LX1 g87132(.A (n_661), .B (n_1031), .C (alu_result[5]), .D
(n_1842), .E (n_763), .Q (n_1153));
AN211LX1 g87133(.A (n_1028), .B (n_585), .C (n_1679), .D (n_1091), .Q
(n_1568));
ON21LX1 g87134(.A (n_1091), .B (\sp[7] ), .C (n_611), .Q (n_1152));
AN211LX1 g87135(.A (n_832), .B (n_781), .C (n_966), .D (n_1097), .Q
(n_1151));
OA211X1 g87136(.A (n_901), .B (n_606), .C (n_1075), .D (n_1113), .Q
(alu_opcode[6]));
NA3LX1 g87137(.A (n_1110), .B (n_670), .C (n_619), .Q (n_1831));
AN22LX1 g87138(.A (alu_status[5]), .B (n_1039), .C (alu_result[5]),
.D (n_881), .Q (n_1150));
AO321LX1 g87139(.A (n_869), .B (n_635), .C (n_660), .D (n_638), .E
(n_951), .F (n_1035), .Q (n_1149));
AN222LX1 g87140(.A (n_1019), .B (n_607), .C (n_904), .D (n_621), .E
(n_603), .F (n_1043), .Q (alu_opcode[4]));
AN221LX1 g87141(.A (n_917), .B (\pc[12] ), .C (alu_result[4]), .D
(n_1042), .E (n_1030), .Q (n_1148));
OA221LX1 g87142(.A (n_580), .B (n_1033), .C (n_1829), .D (n_1832), .E
(n_787), .Q (n_1147));
AN222LX1 g87143(.A (\pc[0] ), .B (n_718), .C (n_722), .D
(alu_status[0]), .E (n_1053), .F (alu_result[0]), .Q (n_1146));
AO321LX1 g87144(.A (n_1605), .B (n_930), .C (n_777), .D (n_858), .E
(n_830), .F (n_1058), .Q (n_1145));
AN222LX1 g87145(.A (\pc[2] ), .B (n_718), .C (n_722), .D
(alu_status[2]), .E (n_1053), .F (alu_result[2]), .Q (n_1144));
AN221LX1 g87146(.A (n_917), .B (n_1909), .C (alu_result[2]), .D
(n_1042), .E (n_1030), .Q (n_1143));
AN222LX1 g87147(.A (\pc[4] ), .B (n_718), .C (n_722), .D
(alu_status[4]), .E (n_1053), .F (alu_result[4]), .Q (n_1142));
AN221LX1 g87148(.A (n_917), .B (\pc[11] ), .C (alu_result[3]), .D
(n_1042), .E (n_1030), .Q (n_1141));
AN222LX1 g87149(.A (\pc[1] ), .B (n_718), .C (n_722), .D
(alu_status[1]), .E (n_1053), .F (alu_result[1]), .Q (n_1140));
AN222LX1 g87150(.A (\pc[3] ), .B (n_718), .C (n_722), .D
(alu_status[3]), .E (n_1053), .F (alu_result[3]), .Q (n_1139));
OA222LX1 g87151(.A (n_1033), .B (\sp_minus_one[2] ), .C (\pc[2] ), .D
(n_1034), .E (n_727), .F (n_1589), .Q (n_1138));
ON221LX1 g87152(.A (n_1056), .B (n_940), .C (n_821), .D (n_631), .E
(n_762), .Q (n_1137));
INLX1 g87153(.A (n_1136), .Q (\sp_minus_one[4] ));
HAALX1 g87154(.A (n_579), .B (n_997), .S (n_1136), .CO (n_1135));
AO22LX1 g87155(.A (n_1084), .B (\temp_data[6] ), .C (n_885), .D
(\temp_addr[6] ), .Q (n_1134));
AN21LX1 g87156(.A (n_1065), .B (n_631), .C (n_949), .Q (n_1133));
AN22LX1 g87157(.A (n_1059), .B (n_671), .C (\sp_minus_one[1] ), .D
(\pc[1] ), .Q (n_1132));
AO32LX1 g87158(.A (n_983), .B (n_577), .C (n_655), .D (n_708), .E
(n_1049), .Q (n_1131));
AO22LX1 g87159(.A (n_583), .B (n_1088), .C (n_708), .D (n_863), .Q
(n_1130));
AN22LX1 g87160(.A (n_1850), .B (n_624), .C (n_1622), .D (n_609), .Q
(n_1129));
NO2LX1 g87161(.A (n_587), .B (n_1083), .Q (n_1128));
AND2LX1 g87162(.A (n_1850), .B (n_628), .Q (n_1848));
AND2LX1 g87163(.A (n_1850), .B (n_588), .Q (n_1849));
NO2I1LX1 g87164(.B (n_587), .AN (n_1083), .Q (n_1127));
OR2LX1 g87165(.A (n_1085), .B (n_784), .Q (n_1126));
OA211LX1 g87166(.A (n_853), .B (n_700), .C (n_1090), .D (n_799), .Q
(n_1125));
OA21LX1 g87167(.A (n_570), .B (data_in[0]), .C (n_1089), .Q (n_1124));
OA21LX1 g87168(.A (n_570), .B (data_in[2]), .C (n_1089), .Q (n_1123));
OA21LX1 g87169(.A (n_570), .B (data_in[1]), .C (n_1089), .Q (n_1122));
OA21LX1 g87170(.A (n_570), .B (data_in[3]), .C (n_1089), .Q (n_1121));
OA21LX1 g87171(.A (n_570), .B (data_in[4]), .C (n_1089), .Q (n_1120));
NA2LX1 g87172(.A (n_1090), .B (n_931), .Q (n_1119));
OA21LX1 g87173(.A (n_1801), .B (n_1005), .C (n_815), .Q (n_1118));
ON31LX1 g87174(.A (n_733), .B (n_607), .C (n_1020), .D (n_696), .Q
(n_1117));
OA211LX1 g87175(.A (n_938), .B (\sp[5] ), .C (n_1028), .D (n_611), .Q
(n_1571));
OA31LX1 g87176(.A (\ir[3] ), .B (n_1861), .C (n_737), .D (n_1073), .Q
(n_1116));
ON21LX1 g87177(.A (n_880), .B (n_1601), .C (n_1068), .Q (n_1115));
AN21LX1 g87178(.A (n_1024), .B (data_in[1]), .C (n_598), .Q (n_1114));
OA211LX1 g87179(.A (n_973), .B (n_975), .C (\ir[6] ), .D (n_912), .Q
(n_1113));
AN21LX1 g87180(.A (n_1034), .B (n_671), .C (\pc[4] ), .Q (n_1112));
AN21LX1 g87181(.A (n_1014), .B (n_621), .C (n_649), .Q (n_1111));
NO4LX1 g87182(.A (n_1590), .B (n_967), .C (n_1794), .D (n_894), .Q
(n_1110));
ON31LX1 g87183(.A (n_859), .B (n_1007), .C (n_708), .D (\ir[3] ), .Q
(n_1109));
NA5I2LX1 g87184(.C (n_1833), .D (n_1855), .E (n_704), .AN (n_1077),
.BN (n_726), .Q (n_1846));
AO21LX1 g87185(.A (n_741), .B (n_742), .C (n_1088), .Q (n_1108));
AO21LX1 g87186(.A (n_606), .B (n_627), .C (n_1055), .Q (n_1107));
AO21LX1 g87187(.A (n_1010), .B (n_603), .C (n_786), .Q (n_1106));
AN21LX1 g87188(.A (n_1015), .B (n_587), .C (n_1037), .Q (n_1105));
AN21LX1 g87189(.A (n_669), .B (\ir[3] ), .C (n_1078), .Q (n_1104));
NA3I1LX1 g87190(.B (n_1084), .C (n_604), .AN (n_1533), .Q (n_1872));
AND2LX1 g87191(.A (n_1061), .B (n_731), .Q (n_1103));
AN221LX1 g87192(.A (n_1616), .B (n_1018), .C (n_621), .D (n_706), .E
(n_581), .Q (n_1102));
AN222LX1 g87193(.A (\pc[7] ), .B (n_718), .C (n_722), .D
(alu_status[7]), .E (data_in[7]), .F (n_1001), .Q (n_1101));
AO222LX1 g87194(.A (\pc[5] ), .B (n_718), .C (data_in[5]), .D
(n_1001), .E (n_722), .F (alu_status[5]), .Q (n_1100));
AN222LX1 g87195(.A (\pc[6] ), .B (n_718), .C (n_722), .D
(alu_status[6]), .E (data_in[6]), .F (n_1001), .Q (n_1099));
OA322LX1 g87196(.A (n_572), .B (n_1858), .C (n_737), .D (n_955), .E
(n_780), .F (n_572), .G (n_957), .Q (n_1098));
AO222LX1 g87197(.A (n_983), .B (n_759), .C (n_631), .D (n_995), .E
(n_827), .F (n_734), .Q (n_1097));
AN331LX1 g87198(.A (\ir[0] ), .B (alu_status[5]), .C (n_847), .D
(n_741), .E (alu_result[5]), .F (n_928), .G (n_597), .Q
(n_1096));
INLX1 g87199(.A (n_1095), .Q (n_1818));
HAALX1 g87200(.A (n_936), .B (\pc[3] ), .S (\next_pc[3] ), .CO
(n_1095));
AN22LX1 g87201(.A (n_1033), .B (\pc[1] ), .C (n_671), .D (n_648), .Q
(n_1094));
AN22LX1 g87202(.A (n_759), .B (n_1012), .C (n_680), .D (n_893), .Q
(n_1093));
AN21LX1 g87203(.A (n_835), .B (n_953), .C (n_635), .Q (n_1092));
AND2LX1 g87204(.A (n_1852), .B (n_588), .Q (n_1826));
NO2LX1 g87205(.A (n_585), .B (n_1028), .Q (n_1091));
NO2I1LX1 g87206(.B (n_992), .AN (n_780), .Q (n_1090));
NO2I1LX1 g87207(.B (n_1015), .AN (n_630), .Q (n_1089));
OR2LX1 g87208(.A (n_1027), .B (n_839), .Q (n_1850));
NO2I1LX1 g87209(.B (n_635), .AN (n_1012), .Q (n_1088));
INLX1 g87210(.A (n_1087), .Q (n_1086));
NA2LX1 g87211(.A (n_1011), .B (n_695), .Q (n_1087));
NO2I1LX1 g87212(.B (n_696), .AN (n_1010), .Q (n_1085));
NO2LX1 g87213(.A (n_587), .B (n_1009), .Q (n_1084));
NA2LX1 g87214(.A (n_1852), .B (n_784), .Q (n_1830));
NO2LX1 g87215(.A (n_1710), .B (n_1027), .Q (n_1083));
NO2LX1 g87216(.A (n_1009), .B (n_641), .Q (n_1082));
NO2LX1 g87217(.A (n_781), .B (n_1000), .Q (n_1081));
AN211LX1 g87218(.A (n_877), .B (n_634), .C (n_597), .D (n_943), .Q
(n_1080));
AO221LX1 g87219(.A (n_925), .B (n_734), .C (n_924), .D (n_759), .E
(n_966), .Q (n_1079));
NA5I1LX1 g87220(.B (n_654), .C (n_684), .D (n_678), .E (n_635), .AN
(n_1800), .Q (n_1078));
NA6I3LX1 g87221(.D (n_976), .E (n_731), .F (n_1856), .AN (n_872), .BN
(n_811), .CN (n_777), .Q (n_1077));
AND3LX1 g87222(.A (n_1835), .B (n_1838), .C (n_1836), .Q (n_1076));
ON31LX1 g87223(.A (n_676), .B (n_627), .C (n_934), .D (n_570), .Q
(n_1075));
NA2LX1 g87224(.A (n_1008), .B (n_1032), .Q (n_1074));
NA5I1LX1 g87225(.B (n_682), .C (n_802), .D (n_567), .E (\ir[4] ), .AN
(n_655), .Q (n_1073));
AN21LX1 g87226(.A (\sp[7] ), .B (n_948), .C (n_1004), .Q (n_1072));
AN21LX1 g87227(.A (\sp[6] ), .B (n_948), .C (n_1004), .Q (n_1071));
AN21LX1 g87228(.A (\sp[5] ), .B (n_948), .C (n_1004), .Q (n_1070));
AN21LX1 g87229(.A (\sp[4] ), .B (n_948), .C (n_1004), .Q (n_1069));
AN211LX1 g87230(.A (n_889), .B (n_755), .C (n_966), .D (n_996), .Q
(n_1068));
AN21LX1 g87231(.A (\sp[3] ), .B (n_948), .C (n_1004), .Q (n_1067));
AN21LX1 g87232(.A (\sp[2] ), .B (n_948), .C (n_1004), .Q (n_1066));
AO31LX1 g87233(.A (\ir[2] ), .B (n_868), .C (n_582), .D (n_828), .Q
(n_1065));
AN21LX1 g87234(.A (\sp[1] ), .B (n_948), .C (n_1004), .Q (n_1064));
AN21LX1 g87235(.A (\sp[0] ), .B (n_948), .C (n_1004), .Q (n_1063));
AO21LX1 g87236(.A (n_628), .B (\next_pc[2] ), .C (n_613), .Q
(n_1062));
NA3I2LX1 g87237(.C (n_640), .AN (n_988), .BN (n_916), .Q (n_1061));
NO2I1LX1 g87238(.B (n_940), .AN (n_1025), .Q (n_1060));
AO21LX1 g87239(.A (\sp_minus_one[1] ), .B (n_970), .C (n_648), .Q
(n_1059));
AN211LX1 g87240(.A (n_865), .B (n_874), .C (n_610), .D (n_607), .Q
(n_1058));
ON31LX1 g87241(.A (n_887), .B (n_728), .C (n_1829), .D (n_607), .Q
(n_1057));
AN21LX1 g87242(.A (\ir[2] ), .B (n_953), .C (n_961), .Q (n_1056));
AO221LX1 g87243(.A (n_670), .B (n_964), .C (n_588), .D (n_695), .E
(n_798), .Q (n_1055));
AN21LX1 g87244(.A (n_1835), .B (n_691), .C (n_1853), .Q (n_1054));
ON31LX1 g87245(.A (n_615), .B (n_602), .C (n_1829), .D (n_771), .Q
(n_1053));
OA21LX1 g87246(.A (n_986), .B (n_1845), .C (n_587), .Q (n_1052));
AN21LX1 g87247(.A (n_658), .B (n_581), .C (n_990), .Q (n_1051));
OA21LX1 g87248(.A (n_602), .B (n_1660), .C (n_1034), .Q (n_1050));
NO3LX1 g87249(.A (n_964), .B (n_1835), .C (n_1853), .Q (n_1815));
AO221LX1 g87250(.A (n_855), .B (\sp[0] ), .C (\ir[4] ), .D (n_1743),
.E (n_927), .Q (n_1049));
AN22LX1 g87251(.A (n_933), .B (n_969), .C (\ir[0] ), .D (n_899), .Q
(n_1048));
AO221LX1 g87252(.A (\next_pc[2] ), .B (n_642), .C (\pc[2] ), .D
(n_653), .E (n_573), .Q (n_1047));
AO22LX1 g87253(.A (n_981), .B (\pc[5] ), .C (n_891), .D
(\temp_addr[5] ), .Q (n_1046));
AO22LX1 g87254(.A (n_981), .B (\pc[6] ), .C (n_891), .D
(\temp_addr[6] ), .Q (n_1045));
AN22LX1 g87255(.A (n_984), .B (data_in[0]), .C (n_1692), .D (n_638),
.Q (n_1044));
AO211LX1 g87256(.A (n_958), .B (n_879), .C (n_851), .D (n_632), .Q
(n_1043));
AO221LX1 g87257(.A (n_741), .B (n_946), .C (n_767), .D (n_852), .E
(n_909), .Q (n_1042));
AO22LX1 g87258(.A (n_981), .B (\pc[1] ), .C (n_891), .D
(\temp_addr[1] ), .Q (n_1041));
AO22LX1 g87259(.A (n_981), .B (\pc[2] ), .C (n_891), .D
(\temp_addr[2] ), .Q (n_1040));
NA4LX1 g87260(.A (n_834), .B (n_682), .C (n_875), .D (n_888), .Q
(n_1039));
ON22LX1 g87261(.A (n_610), .B (n_932), .C (n_922), .D (n_629), .Q
(n_1038));
ON211LX1 g87262(.A (n_964), .B (n_630), .C (n_667), .D (n_606), .Q
(n_1037));
MU2LX1 g87263(.S (\ir[3] ), .IN0 (\next_pc[2] ), .IN1 (\pc[2] ), .Q
(n_1691));
MU2LX1 g87264(.S (n_970), .IN0 (n_577), .IN1 (\sp[0] ), .Q (n_1036));
MU2LX1 g87265(.S (\pc[0] ), .IN0 (n_963), .IN1 (n_892), .Q (n_1035));
NA2I1LX1 g87266(.B (n_649), .AN (n_970), .Q (n_1034));
NA2LX1 g87267(.A (n_970), .B (n_649), .Q (n_1033));
NA2LX1 g87268(.A (n_759), .B (n_962), .Q (n_1032));
NA2LX1 g87269(.A (n_1829), .B (n_628), .Q (n_1031));
AND2LX1 g87270(.A (\next_pc[2] ), .B (n_587), .Q (n_1684));
AND2LX1 g87271(.A (n_1679), .B (\next_pc[2] ), .Q (n_1689));
AND2LX1 g87272(.A (n_946), .B (n_918), .Q (n_1030));
NA2LX1 g87273(.A (n_964), .B (n_587), .Q (n_1841));
NA2I1LX1 g87274(.B (n_847), .AN (n_950), .Q (n_1029));
NA2LX1 g87275(.A (n_938), .B (\sp[5] ), .Q (n_1028));
AND2LX1 g87276(.A (n_937), .B (n_611), .Q (n_1578));
OR2LX1 g87277(.A (n_986), .B (n_987), .Q (n_1027));
NA2I1LX1 g87278(.B (n_964), .AN (n_703), .Q (n_1026));
OR2LX1 g87279(.A (n_1825), .B (n_1627), .Q (n_1025));
NO2LX1 g87280(.A (n_597), .B (n_985), .Q (n_1024));
AO21LX1 g87281(.A (n_764), .B (n_579), .C (n_938), .Q (n_1023));
AND2LX1 g87282(.A (\next_pc[2] ), .B (n_1660), .Q (n_1588));
AO221LX1 g87283(.A (n_635), .B (n_1740), .C (\ir[2] ), .D (n_1738),
.E (n_1741), .Q (n_1852));
AO221LX1 g87284(.A (n_1869), .B (n_850), .C (data_in[2]), .D (n_790),
.E (n_923), .Q (n_1022));
AO221LX1 g87285(.A (n_612), .B (n_850), .C (data_in[4]), .D (n_790),
.E (n_923), .Q (n_1021));
ON21LX1 g87286(.A (n_876), .B (n_813), .C (n_568), .Q (n_1020));
NA4I1LX1 g87287(.B (n_896), .C (n_806), .D (n_741), .AN (n_728), .Q
(n_1019));
NA4LX1 g87288(.A (n_583), .B (n_568), .C (n_849), .D (n_1845), .Q
(n_1018));
AN21LX1 g87289(.A (n_732), .B (alu_status[1]), .C (n_946), .Q
(n_1017));
AN21LX1 g87290(.A (n_732), .B (alu_status[0]), .C (n_946), .Q
(n_1016));
AO21LX1 g87291(.A (n_1796), .B (n_569), .C (n_609), .Q (n_1015));
ON211LX1 g87292(.A (n_684), .B (n_634), .C (n_935), .D (n_797), .Q
(n_1014));
ON21LX1 g87293(.A (n_873), .B (n_908), .C (n_831), .Q (n_1013));
AO21LX1 g87294(.A (n_1601), .B (n_688), .C (n_962), .Q (n_1012));
ON21LX1 g87295(.A (n_871), .B (n_611), .C (n_597), .Q (n_1011));
AO21LX1 g87296(.A (n_871), .B (n_1679), .C (n_1660), .Q (n_1010));
OA211LX1 g87297(.A (n_742), .B (n_631), .C (n_583), .D (n_915), .Q
(n_1009));
AN21LX1 g87298(.A (n_734), .B (n_863), .C (n_956), .Q (n_1008));
OA211LX1 g87299(.A (n_657), .B (n_816), .C (n_1741), .D (n_819), .Q
(n_1007));
AN21LX1 g87300(.A (n_847), .B (n_587), .C (n_950), .Q (n_1006));
OA21LX1 g87301(.A (n_903), .B (\ir[0] ), .C (n_567), .Q (n_1005));
ON21LX1 g87302(.A (n_1650), .B (n_697), .C (n_696), .Q (n_1004));
OR4LX1 g87303(.A (n_721), .B (n_1527), .C (n_569), .D (n_1740), .Q
(n_1003));
OA21LX1 g87304(.A (n_1598), .B (n_868), .C (n_897), .Q (n_1002));
NO3LX1 g87305(.A (n_1796), .B (n_671), .C (n_581), .Q (n_1001));
AN221LX1 g87306(.A (n_791), .B (n_582), .C (n_685), .D (n_1600), .E
(n_877), .Q (n_1000));
AO221LX1 g87307(.A (n_792), .B (n_866), .C (n_1747), .D (n_582), .E
(n_828), .Q (n_999));
INLX1 g87308(.A (n_998), .Q (\sp_minus_one[3] ));
HAALX1 g87309(.A (n_584), .B (n_801), .S (n_998), .CO (n_997));
AO21LX1 g87310(.A (n_845), .B (n_1639), .C (n_959), .Q (n_996));
AO32LX1 g87311(.A (\ir[2] ), .B (n_837), .C (n_685), .D (n_755), .E
(n_582), .Q (n_995));
ON22LX1 g87312(.A (n_916), .B (n_635), .C (n_583), .D (n_846), .Q
(n_994));
AN22LX1 g87313(.A (n_918), .B (n_732), .C (n_845), .D (n_1620), .Q
(n_993));
AO211LX1 g87314(.A (n_680), .B (n_1854), .C (n_707), .D (n_883), .Q
(n_992));
AO211LX1 g87315(.A (n_655), .B (n_688), .C (n_708), .D (n_867), .Q
(n_991));
AN211LX1 g87316(.A (n_913), .B (\state[3] ), .C (n_613), .D (n_694),
.Q (n_990));
ON311LX1 g87317(.A (n_581), .B (n_1533), .C (n_1622), .D (n_671), .E
(n_677), .Q (n_989));
AO211LX1 g87318(.A (n_582), .B (n_1859), .C (n_789), .D (n_877), .Q
(n_988));
NO2I1LX1 g87319(.B (\ir[5] ), .AN (n_864), .Q (n_987));
NO2I1LX1 g87320(.B (n_864), .AN (\ir[5] ), .Q (n_986));
INLX1 g87321(.A (n_985), .Q (n_984));
OR2LX1 g87322(.A (n_870), .B (n_900), .Q (n_985));
NA2I1LX1 g87323(.B (n_840), .AN (n_924), .Q (n_983));
INLX1 g87324(.A (n_982), .Q (n_1621));
NA2LX1 g87325(.A (n_1622), .B (n_588), .Q (n_982));
NO2LX1 g87326(.A (n_641), .B (n_1622), .Q (n_981));
AND2LX1 g87327(.A (n_914), .B (\state[3] ), .Q (n_980));
NO2I1LX1 g87328(.B (n_583), .AN (n_892), .Q (n_979));
OR2LX1 g87329(.A (n_900), .B (n_796), .Q (n_1835));
AN21LX1 g87330(.A (n_844), .B (n_826), .C (n_583), .Q (n_1793));
NA2LX1 g87331(.A (n_1598), .B (n_915), .Q (n_1844));
INLX1 g87332(.A (n_978), .Q (n_977));
NA2I1LX1 g87333(.B (n_878), .AN (n_909), .Q (n_978));
OA211LX1 g87334(.A (n_758), .B (n_607), .C (n_805), .D (n_808), .Q
(n_976));
AN21LX1 g87335(.A (n_635), .B (n_822), .C (n_583), .Q (n_975));
AN221LX1 g87336(.A (\next_pc[1] ), .B (n_642), .C (\sp[1] ), .D
(n_705), .E (n_824), .Q (n_974));
AN21LX1 g87337(.A (n_814), .B (n_1789), .C (n_635), .Q (n_1825));
OA221LX1 g87338(.A (n_716), .B (n_657), .C (n_572), .D (n_760), .E
(n_1598), .Q (n_973));
AN21LX1 g87339(.A (alu_result[0]), .B (n_741), .C (n_918), .Q
(n_972));
ON31LX1 g87340(.A (n_1600), .B (n_644), .C (n_744), .D (n_696), .Q
(n_971));
NA3I1LX1 g87341(.B (n_734), .C (n_588), .AN (n_1740), .Q (n_970));
AO321LX1 g87342(.A (n_673), .B (\ir[3] ), .C (n_664), .D (reset_n),
.E (n_803), .F (n_817), .Q (n_969));
AN21LX1 g87343(.A (alu_result[1]), .B (n_741), .C (n_918), .Q
(n_968));
ON21LX1 g87344(.A (n_582), .B (n_849), .C (n_812), .Q (n_967));
INLX1 g87345(.A (n_966), .Q (n_965));
ON21LX1 g87346(.A (n_669), .B (n_836), .C (n_820), .Q (n_966));
INLX1 g87352(.A (n_1829), .Q (n_964));
ON211LX1 g87353(.A (n_792), .B (\ir[0] ), .C (n_897), .D (n_741), .Q
(n_1829));
AO21LX1 g87354(.A (n_837), .B (n_664), .C (n_755), .Q (n_963));
ON211LX1 g87355(.A (n_747), .B (n_566), .C (n_1620), .D (n_753), .Q
(n_962));
NA3I1LX1 g87356(.B (n_783), .C (n_819), .AN (n_908), .Q (n_961));
OA21LX1 g87357(.A (n_669), .B (n_849), .C (n_699), .Q (n_960));
ON21LX1 g87358(.A (n_737), .B (n_836), .C (n_839), .Q (n_959));
ON211LX1 g87359(.A (n_1598), .B (n_1859), .C (n_754), .D (n_682), .Q
(n_958));
INLX1 g87360(.A (n_956), .Q (n_957));
AO21LX1 g87361(.A (n_750), .B (n_854), .C (n_1590), .Q (n_956));
AND4LX1 g87362(.A (n_1897), .B (n_691), .C (n_679), .D (n_859), .Q
(n_955));
ON21LX1 g87363(.A (n_815), .B (n_816), .C (n_695), .Q (n_954));
ON31LX1 g87364(.A (n_1599), .B (n_631), .C (n_1858), .D (n_699), .Q
(n_1692));
AO21LX1 g87365(.A (n_818), .B (n_673), .C (n_873), .Q (n_953));
AN211LX1 g87366(.A (n_744), .B (n_736), .C (n_1858), .D (n_829), .Q
(n_952));
ON21LX1 g87367(.A (n_1858), .B (n_663), .C (n_846), .Q (n_951));
ON21LX1 g87368(.A (n_847), .B (n_741), .C (n_650), .Q (n_950));
ON21LX1 g87369(.A (n_1741), .B (n_857), .C (n_922), .Q (n_949));
NO3LX1 g87370(.A (n_644), .B (n_780), .C (n_840), .Q (n_948));
AO31LX1 g87371(.A (n_1857), .B (n_767), .C (n_734), .D (n_909), .Q
(n_947));
AND2LX1 g87372(.A (n_920), .B (n_732), .Q (n_946));
AO21LX1 g87373(.A (n_1594), .B (n_1797), .C (n_645), .Q (n_945));
ON21LX1 g87374(.A (n_587), .B (n_810), .C (n_698), .Q (n_944));
ON221LX1 g87375(.A (n_862), .B (n_583), .C (n_740), .D (n_582), .E
(\ir[3] ), .Q (n_943));
AO322LX1 g87376(.A (n_1694), .B (n_580), .C (n_713), .D (data_in[1]),
.E (n_790), .F (n_626), .G (n_850), .Q (n_942));
AO322LX1 g87377(.A (n_1673), .B (n_580), .C (n_713), .D (data_in[3]),
.E (n_790), .F (n_600), .G (n_850), .Q (n_941));
OA222LX1 g87378(.A (n_708), .B (n_780), .C (n_754), .D (n_757), .E
(n_795), .F (n_583), .Q (n_940));
OA221LX1 g87379(.A (n_741), .B (n_669), .C (n_858), .D (n_1741), .E
(n_848), .Q (n_939));
HAALX1 g87380(.A (\sp[4] ), .B (n_765), .S (n_937), .CO (n_938));
HAALX1 g87381(.A (\pc[2] ), .B (n_715), .S (\next_pc[2] ), .CO
(n_936));
OA22LX1 g87382(.A (n_825), .B (n_635), .C (n_655), .D (n_631), .Q
(n_935));
AN33LX1 g87383(.A (n_740), .B (n_587), .C (n_734), .D (n_681), .E
(n_719), .F (n_651), .Q (n_934));
AO211LX1 g87384(.A (n_729), .B (n_634), .C (n_565), .D (n_831), .Q
(n_933));
AN22LX1 g87385(.A (n_668), .B (n_821), .C (\ir[0] ), .D (n_572), .Q
(n_932));
AN32LX1 g87386(.A (n_1620), .B (n_660), .C (n_734), .D (n_1857), .E
(n_766), .Q (n_931));
ON22LX1 g87387(.A (n_861), .B (\ir[2] ), .C (n_1600), .D (n_706), .Q
(n_930));
AO32LX1 g87388(.A (n_1511), .B (n_741), .C (n_655), .D (n_631), .E
(n_858), .Q (n_929));
MU2ILX1 g87389(.S (n_631), .IN0 (n_847), .IN1 (n_796), .Q (n_928));
MU2LX1 g87390(.S (\pc[0] ), .IN0 (n_827), .IN1 (n_751), .Q (n_927));
EO2LX1 g87391(.A (\sp[3] ), .B (n_801), .Q (n_926));
NA2I1LX1 g87392(.B (n_702), .AN (n_827), .Q (n_925));
NO2I1LX1 g87393(.B (\ir[4] ), .AN (n_1862), .Q (n_924));
NA2I1LX1 g87394(.B (n_1594), .AN (n_771), .Q (n_1832));
NA2I1LX1 g87395(.B (n_852), .AN (n_1634), .Q (n_1838));
AND2LX1 g87396(.A (n_850), .B (n_570), .Q (n_923));
NA2LX1 g87397(.A (n_668), .B (n_849), .Q (n_922));
NO2I1LX1 g87398(.B (n_843), .AN (alu_result[3]), .Q (n_921));
NA2I1LX1 g87399(.B (n_847), .AN (n_629), .Q (n_920));
NO2I1LX1 g87400(.B (n_843), .AN (alu_result[4]), .Q (n_919));
OR2LX1 g87401(.A (n_847), .B (n_629), .Q (n_918));
NA2I1LX1 g87402(.B (n_852), .AN (n_1864), .Q (n_1874));
INLX1 g87403(.A (n_1836), .Q (n_917));
NA2I1LX1 g87406(.B (n_845), .AN (n_1620), .Q (n_1836));
NA2LX1 g87407(.A (n_845), .B (n_767), .Q (n_1650));
NA2LX1 g87408(.A (n_685), .B (n_854), .Q (n_916));
NA2I1LX1 g87409(.B (n_631), .AN (n_810), .Q (n_915));
NO2I1LX1 g87410(.B (n_1594), .AN (n_1797), .Q (n_914));
INLX1 g87411(.A (n_1622), .Q (n_913));
NA2LX1 g87412(.A (n_698), .B (n_810), .Q (n_1622));
NA2LX1 g87413(.A (n_569), .B (n_851), .Q (n_912));
NO2I1LX1 g87414(.B (n_843), .AN (alu_result[2]), .Q (n_911));
NO2I1LX1 g87415(.B (n_1527), .AN (n_855), .Q (n_910));
NO2LX1 g87416(.A (n_844), .B (n_583), .Q (n_1795));
NA2I1LX1 g87417(.B (n_680), .AN (n_844), .Q (n_1796));
NO2I1LX1 g87418(.B (n_657), .AN (n_863), .Q (n_909));
AN21LX1 g87419(.A (n_778), .B (n_1845), .C (n_572), .Q (n_908));
ON21LX1 g87420(.A (n_725), .B (\state[3] ), .C (n_1860), .Q (n_907));
AO21LX1 g87421(.A (n_781), .B (n_742), .C (n_755), .Q (n_906));
AO21LX1 g87422(.A (n_628), .B (\next_pc[1] ), .C (n_613), .Q (n_905));
NA3I1LX1 g87423(.B (n_747), .C (n_1596), .AN (n_825), .Q (n_1800));
NA4I1LX1 g87424(.B (n_735), .C (n_596), .D (n_688), .AN (n_567), .Q
(n_904));
OA21LX1 g87425(.A (n_781), .B (n_632), .C (n_1862), .Q (n_903));
NO3LX1 g87426(.A (n_1527), .B (n_1854), .C (n_1620), .Q (n_902));
NO4I3LX1 g87427(.D (n_1634), .AN (n_845), .BN (n_568), .CN (n_573),
.Q (n_901));
ON21LX1 g87428(.A (n_1741), .B (n_1704), .C (n_699), .Q (n_900));
AN211LX1 g87429(.A (n_647), .B (n_584), .C (n_1679), .D (n_765), .Q
(n_1587));
ON21LX1 g87430(.A (n_1595), .B (n_752), .C (\ir[7] ), .Q (n_899));
OA21LX1 g87431(.A (n_774), .B (n_622), .C (n_1855), .Q (n_898));
OA21LX1 g87432(.A (n_1595), .B (n_631), .C (n_699), .Q (n_897));
ON21LX1 g87433(.A (n_781), .B (n_1605), .C (n_846), .Q (n_1627));
ON21LX1 g87434(.A (n_760), .B (\ir[3] ), .C (n_736), .Q (n_896));
OA211LX1 g87435(.A (n_607), .B (n_646), .C (n_787), .D (n_689), .Q
(n_895));
ON31LX1 g87436(.A (n_1596), .B (n_1861), .C (n_583), .D (n_848), .Q
(n_894));
ON21LX1 g87437(.A (n_781), .B (n_743), .C (n_846), .Q (n_893));
AO21LX1 g87438(.A (n_761), .B (n_707), .C (n_749), .Q (n_892));
NO3LX1 g87439(.A (n_641), .B (n_669), .C (n_743), .Q (n_891));
AN211LX1 g87440(.A (n_708), .B (n_701), .C (n_581), .D (n_641), .Q
(n_890));
AO21LX1 g87441(.A (n_698), .B (n_1620), .C (n_680), .Q (n_889));
AN21LX1 g87442(.A (n_709), .B (n_1620), .C (n_707), .Q (n_888));
AN21LX1 g87443(.A (n_580), .B (n_1845), .C (n_614), .Q (n_887));
ON21LX1 g87444(.A (\ir[7] ), .B (n_752), .C (n_1857), .Q (n_886));
NO3I2LX1 g87445(.C (n_1597), .AN (n_759), .BN (n_1847), .Q (n_885));
NA4LX1 g87446(.A (n_768), .B (n_699), .C (n_701), .D (\ir[2] ), .Q
(n_884));
OA21LX1 g87447(.A (n_793), .B (n_1854), .C (n_759), .Q (n_883));
OA311LX1 g87448(.A (\pc[0] ), .B (n_1660), .C (n_602), .D (n_683), .E
(n_809), .Q (n_882));
NO3LX1 g87449(.A (n_582), .B (n_766), .C (n_1595), .Q (n_881));
AN21LX1 g87450(.A (n_779), .B (n_660), .C (n_833), .Q (n_880));
AO22LX1 g87451(.A (n_756), .B (reset_n), .C (n_1579), .D (n_673), .Q
(n_879));
OR4LX1 g87452(.A (n_1741), .B (n_1634), .C (n_1599), .D (n_676), .Q
(n_878));
HAALX1 g87453(.A (n_674), .B (n_583), .S (n_876), .CO (n_877));
AN22LX1 g87454(.A (n_766), .B (n_1898), .C (n_1854), .D (\ir[2] ), .Q
(n_875));
AN211LX1 g87455(.A (n_781), .B (n_702), .C (n_572), .D (n_1857), .Q
(n_874));
ON22LX1 g87456(.A (n_634), .B (n_716), .C (n_672), .D (n_679), .Q
(n_873));
ON211LX1 g87457(.A (n_641), .B (n_581), .C (n_785), .D (n_671), .Q
(n_872));
AO22LX1 g87458(.A (n_779), .B (n_772), .C (n_680), .D (n_1747), .Q
(n_871));
ON211LX1 g87459(.A (n_1741), .B (n_753), .C (n_633), .D (n_567), .Q
(n_870));
MU2LX1 g87460(.S (\ir[3] ), .IN0 (\next_pc[1] ), .IN1 (\pc[1] ), .Q
(n_1702));
MU2LX1 g87461(.S (n_781), .IN0 (n_638), .IN1 (n_577), .Q (n_869));
MU2LX1 g87462(.S (n_688), .IN0 (n_1601), .IN1 (n_1861), .Q (n_868));
INLX1 g87463(.A (n_866), .Q (n_867));
MU2LX1 g87464(.S (n_583), .IN0 (n_1601), .IN1 (n_741), .Q (n_866));
EO2LX1 g87465(.A (n_635), .B (n_761), .Q (n_865));
MU4LX1 g87466(.IN0 (alu_status[7]), .IN1 (alu_status[0]), .S0 (\ir[7]
), .IN2 (alu_status[6]), .S1 (\ir[6] ), .IN3 (alu_status[1]), .Q
(n_864));
NO2I1LX1 g87467(.B (n_1600), .AN (n_660), .Q (n_863));
AND2LX1 g87468(.A (\pc[1] ), .B (n_761), .Q (n_1701));
INLX1 g87469(.A (n_861), .Q (n_862));
OR2LX1 g87470(.A (n_791), .B (n_1859), .Q (n_861));
INLX1 g87472(.A (n_1710), .Q (n_860));
NA2LX1 g87474(.A (n_734), .B (n_701), .Q (n_1710));
NA2LX1 g87475(.A (n_1601), .B (reset_n), .Q (n_859));
INLX1 g87476(.A (n_857), .Q (n_858));
NA2LX1 g87480(.A (n_792), .B (n_741), .Q (n_857));
NA2I1LX1 g87481(.B (n_1596), .AN (n_751), .Q (n_856));
NA2LX1 g87482(.A (n_1597), .B (n_747), .Q (n_855));
NO2I1LX1 g87483(.B (n_735), .AN (n_1579), .Q (n_1801));
NA2LX1 g87484(.A (n_772), .B (n_700), .Q (n_1738));
INLX1 g87485(.A (n_1858), .Q (n_854));
OR2LX1 g87488(.A (n_1600), .B (n_633), .Q (n_1858));
AND2LX1 g87489(.A (n_1660), .B (\next_pc[1] ), .Q (n_1591));
INLX1 g87490(.A (n_853), .Q (n_852));
NA2LX1 g87493(.A (n_698), .B (n_749), .Q (n_853));
NA2LX1 g87494(.A (n_588), .B (n_762), .Q (n_851));
NO2LX1 g87495(.A (n_627), .B (n_721), .Q (n_850));
NA2LX1 g87496(.A (\next_pc[1] ), .B (n_587), .Q (n_1786));
AND2LX1 g87497(.A (\pc[2] ), .B (n_761), .Q (n_1690));
NO2LX1 g87498(.A (n_749), .B (n_1600), .Q (n_849));
NA2I1LX1 g87499(.B (n_1597), .AN (n_682), .Q (n_848));
NA2I1LX1 g87500(.B (n_583), .AN (n_796), .Q (n_847));
NA2LX1 g87501(.A (n_1897), .B (n_707), .Q (n_846));
NO2LX1 g87502(.A (n_743), .B (n_1741), .Q (n_845));
NA2LX1 g87503(.A (n_741), .B (n_633), .Q (n_1593));
NO2LX1 g87504(.A (n_772), .B (n_1747), .Q (n_844));
AND2LX1 g87505(.A (\pc[5] ), .B (n_761), .Q (n_1661));
NA2LX1 g87506(.A (n_732), .B (n_741), .Q (n_843));
INLX1 g87507(.A (n_841), .Q (n_842));
NA2LX1 g87510(.A (n_734), .B (\ir[4] ), .Q (n_841));
NA2LX1 g87511(.A (n_781), .B (n_688), .Q (n_840));
NA2I1LX1 g87512(.B (n_698), .AN (n_1845), .Q (n_839));
NA2I1LX1 g87513(.B (n_606), .AN (n_774), .Q (n_838));
INLX1 g87515(.A (n_836), .Q (n_837));
NA2LX1 g87517(.A (n_1600), .B (\ir[3] ), .Q (n_836));
NO2LX1 g87518(.A (n_1897), .B (n_589), .Q (n_1528));
AND2LX1 g87519(.A (\pc[12] ), .B (n_761), .Q (n_1531));
NO2LX1 g87520(.A (n_1897), .B (n_594), .Q (n_1530));
AND2LX1 g87521(.A (n_1909), .B (n_761), .Q (n_1529));
NA2LX1 g87522(.A (n_741), .B (n_1857), .Q (n_1791));
NO2LX1 g87523(.A (\ir[4] ), .B (n_746), .Q (n_1594));
AND2LX1 g87524(.A (n_1620), .B (\ir[3] ), .Q (n_1862));
NA2LX1 g87525(.A (n_1741), .B (n_795), .Q (n_835));
NA2LX1 g87526(.A (n_655), .B (n_793), .Q (n_834));
NA2LX1 g87527(.A (n_793), .B (n_676), .Q (n_1789));
NO2LX1 g87528(.A (n_1897), .B (n_571), .Q (n_1641));
NO2I1LX1 g87529(.B (n_1897), .AN (\pc[6] ), .Q (n_1651));
AND2LX1 g87530(.A (\pc[4] ), .B (n_761), .Q (n_1670));
AND2LX1 g87531(.A (\pc[3] ), .B (n_761), .Q (n_1680));
NO2LX1 g87532(.A (n_1897), .B (n_577), .Q (n_1742));
AND2LX1 g87533(.A (n_1679), .B (\next_pc[1] ), .Q (n_1700));
NO2I1LX1 g87534(.B (n_1596), .AN (n_759), .Q (n_833));
NO2LX1 g87535(.A (n_743), .B (n_1598), .Q (n_832));
NA2I1LX1 g87536(.B (n_682), .AN (n_759), .Q (n_831));
INLX1 g87538(.A (n_829), .Q (n_830));
OR2LX1 g87539(.A (n_728), .B (n_605), .Q (n_829));
NO2LX1 g87540(.A (n_1597), .B (n_682), .Q (n_828));
NA2LX1 g87541(.A (n_781), .B (\ir[3] ), .Q (n_1583));
NO2I1LX1 g87542(.B (n_1854), .AN (n_1639), .Q (n_827));
NA2I1LX1 g87543(.B (n_792), .AN (\ir[5] ), .Q (n_826));
NO2LX1 g87544(.A (n_792), .B (n_1741), .Q (n_1794));
NO2LX1 g87545(.A (\ir[4] ), .B (n_1600), .Q (n_825));
AO21LX1 g87546(.A (n_653), .B (\pc[1] ), .C (n_573), .Q (n_824));
ON21LX1 g87547(.A (n_658), .B (n_642), .C (\state[3] ), .Q (n_823));
ON21LX1 g87548(.A (n_675), .B (n_572), .C (n_679), .Q (n_822));
NA3LX1 g87549(.A (n_749), .B (n_672), .C (n_673), .Q (n_821));
NA3LX1 g87550(.A (n_668), .B (n_1599), .C (\ir[3] ), .Q (n_820));
NA3LX1 g87551(.A (n_1861), .B (n_707), .C (reset_n), .Q (n_819));
ON21LX1 g87552(.A (n_675), .B (\ir[7] ), .C (n_1596), .Q (n_818));
ON21LX1 g87553(.A (n_634), .B (n_679), .C (\ir[7] ), .Q (n_817));
OA211LX1 g87554(.A (n_620), .B (\sp[2] ), .C (n_647), .D (n_611), .Q
(n_1589));
NA3LX1 g87555(.A (n_676), .B (\ir[7] ), .C (n_673), .Q (n_816));
NA3I1LX1 g87556(.B (n_1596), .C (n_1511), .AN (n_1579), .Q (n_815));
INLX1 g87557(.A (n_1828), .Q (n_814));
ON21LX1 g87558(.A (n_1596), .B (\ir[5] ), .C (n_753), .Q (n_1828));
INLX1 g87559(.A (n_812), .Q (n_813));
NA3LX1 g87560(.A (n_582), .B (n_672), .C (\ir[5] ), .Q (n_812));
AO21LX1 g87561(.A (n_1797), .B (n_580), .C (n_776), .Q (n_811));
NO3LX1 g87562(.A (n_740), .B (n_635), .C (n_676), .Q (n_810));
OA21LX1 g87563(.A (n_704), .B (n_577), .C (n_581), .Q (n_809));
OA21LX1 g87564(.A (n_605), .B (n_712), .C (n_788), .Q (n_808));
ON21LX1 g87565(.A (n_606), .B (n_643), .C (n_788), .Q (n_807));
ON21LX1 g87566(.A (n_581), .B (n_709), .C (n_615), .Q (n_806));
OA21LX1 g87567(.A (n_711), .B (n_617), .C (n_1851), .Q (n_805));
OA21LX1 g87568(.A (n_622), .B (n_646), .C (n_677), .Q (n_804));
NA3LX1 g87569(.A (n_566), .B (n_660), .C (n_565), .Q (n_1740));
ON21LX1 g87570(.A (n_566), .B (n_1605), .C (n_1845), .Q (n_803));
OA22LX1 g87571(.A (n_566), .B (\ir[0] ), .C (n_656), .D (n_635), .Q
(n_802));
INLX1 g87572(.A (n_800), .Q (\sp_minus_one[2] ));
HAALX1 g87573(.A (n_578), .B (n_618), .S (n_800), .CO (n_801));
AN22LX1 g87574(.A (n_709), .B (n_1863), .C (n_681), .D (\ir[7] ), .Q
(n_799));
ON22LX1 g87575(.A (n_649), .B (n_580), .C (n_605), .D (n_570), .Q
(n_798));
AN211LX1 g87576(.A (n_582), .B (n_1847), .C (n_709), .D (n_588), .Q
(n_797));
NA2LX1 g87577(.A (n_660), .B (\ir[2] ), .Q (n_796));
NA2I1LX1 g87578(.B (n_632), .AN (n_1603), .Q (n_1799));
NA2LX1 g87579(.A (n_701), .B (n_635), .Q (n_1845));
NA2LX1 g87580(.A (n_672), .B (n_631), .Q (n_795));
NO2I1LX1 g87581(.B (n_711), .AN (n_568), .Q (n_794));
INLX1 g87582(.A (n_1704), .Q (n_793));
NA2I1LX1 g87586(.B (n_565), .AN (n_566), .Q (n_1704));
NA2I1LX1 g87587(.B (n_658), .AN (n_622), .Q (n_1856));
NO2LX1 g87588(.A (n_28), .B (n_688), .Q (n_792));
NO2I1LX1 g87589(.B (n_565), .AN (n_1847), .Q (n_791));
NO2LX1 g87590(.A (n_587), .B (n_703), .Q (n_790));
NA2LX1 g87591(.A (n_1898), .B (n_631), .Q (n_789));
NA2I1LX1 g87592(.B (n_580), .AN (n_689), .Q (n_788));
NA2LX1 g87593(.A (n_1679), .B (n_695), .Q (n_787));
INLX1 g87594(.A (n_785), .Q (n_786));
NA2I1LX1 g87595(.B (n_573), .AN (n_689), .Q (n_785));
NO2LX1 g87596(.A (n_662), .B (n_1842), .Q (n_784));
NA2LX1 g87597(.A (n_709), .B (n_673), .Q (n_783));
NO2LX1 g87598(.A (n_696), .B (n_1842), .Q (n_782));
INLX2 g87605(.A (n_1601), .Q (n_781));
NA2X1 g87611(.A (n_566), .B (\ir[7] ), .Q (n_1601));
INLX1 g87613(.A (n_780), .Q (n_779));
NA2I1LX1 g87614(.B (n_582), .AN (n_567), .Q (n_780));
NA2LX1 g87615(.A (n_1861), .B (n_709), .Q (n_778));
INLX1 g87616(.A (n_1853), .Q (n_777));
NA2X1 g87620(.A (n_640), .B (n_580), .Q (n_1853));
INLX1 g87622(.A (n_775), .Q (n_776));
NA2LX1 g87623(.A (n_645), .B (n_604), .Q (n_775));
NO2I1LX1 g87624(.B (n_658), .AN (n_641), .Q (n_774));
NO2I1LX1 g87625(.B (n_579), .AN (n_705), .Q (n_773));
NA2I1LX1 g87626(.B (n_616), .AN (n_712), .Q (n_1855));
INLX1 g87628(.A (n_1597), .Q (n_772));
NA2LX1 g87630(.A (n_674), .B (\ir[3] ), .Q (n_1597));
NA2I1LX1 g87631(.B (n_604), .AN (n_641), .Q (n_771));
INLX1 g87632(.A (n_769), .Q (n_770));
OR2LX1 g87633(.A (n_641), .B (\state[3] ), .Q (n_769));
NO2LX1 g87634(.A (n_1679), .B (\sp_minus_one[1] ), .Q (n_1592));
NA2LX1 g87635(.A (n_698), .B (n_1861), .Q (n_768));
NA2LX1 g87636(.A (n_658), .B (n_604), .Q (n_1851));
INLX1 g87638(.A (n_766), .Q (n_767));
OR2LX1 g87641(.A (n_1634), .B (n_634), .Q (n_766));
NO2LX1 g87642(.A (n_635), .B (n_672), .Q (n_1747));
INLX1 g87644(.A (n_764), .Q (n_765));
OR2LX1 g87645(.A (n_647), .B (n_584), .Q (n_764));
NA2I1LX1 g87646(.B (n_565), .AN (n_1863), .Q (n_1620));
NO2I1LX1 g87647(.B (n_662), .AN (n_568), .Q (n_763));
NA2LX1 g87648(.A (n_1590), .B (reset_n), .Q (n_762));
INLX1 g87657(.A (n_1897), .Q (n_761));
NA2LX1 g87658(.A (n_672), .B (\ir[3] ), .Q (n_1897));
NA2LX1 g87659(.A (n_664), .B (n_631), .Q (n_760));
NO2LX1 g87660(.A (n_657), .B (n_21), .Q (n_759));
NO2LX1 g87661(.A (n_1797), .B (n_645), .Q (n_758));
AND2LX1 g87662(.A (n_708), .B (n_1861), .Q (n_757));
NA2LX1 g87663(.A (n_672), .B (n_1605), .Q (n_756));
NO2LX1 g87664(.A (n_633), .B (n_706), .Q (n_755));
NA2I1LX1 g87665(.B (n_698), .AN (n_707), .Q (n_754));
INLX1 g87666(.A (n_753), .Q (n_1859));
NA2LX1 g87670(.A (n_1861), .B (\ir[4] ), .Q (n_753));
NA2LX1 g87671(.A (n_634), .B (n_673), .Q (n_752));
NO2LX1 g87672(.A (n_691), .B (n_565), .Q (n_751));
NO2LX1 g87673(.A (n_1599), .B (n_669), .Q (n_750));
INLX1 g87676(.A (n_1595), .Q (n_749));
NA2LX1 g87678(.A (n_1857), .B (n_28), .Q (n_1595));
AND2LX1 g87679(.A (n_705), .B (n_604), .Q (n_748));
NA2LX1 g87680(.A (n_674), .B (n_633), .Q (n_747));
NA2I1LX1 g87681(.B (n_708), .AN (n_678), .Q (n_746));
NO2I1LX1 g87682(.B (n_578), .AN (n_705), .Q (n_745));
NA2I1LX1 g87683(.B (n_699), .AN (n_706), .Q (n_744));
INLX1 g87685(.A (n_743), .Q (n_742));
NA2LX1 g87688(.A (n_681), .B (n_633), .Q (n_743));
INLX2 g87689(.A (n_1600), .Q (n_741));
OR2X1 g87704(.A (n_1863), .B (n_565), .Q (n_1600));
AND2LX1 g87705(.A (\ir[7] ), .B (n_1863), .Q (n_1639));
NA2LX1 g87706(.A (n_674), .B (\ir[5] ), .Q (n_740));
INLX1 g87707(.A (n_739), .Q (n_738));
NA2LX1 g87708(.A (n_690), .B (n_581), .Q (n_739));
NA2LX1 g87709(.A (n_680), .B (n_664), .Q (n_737));
NA2LX1 g87710(.A (n_668), .B (\ir[4] ), .Q (n_736));
NA2LX1 g87711(.A (n_606), .B (n_1797), .Q (n_1834));
NA2LX1 g87712(.A (n_582), .B (n_1861), .Q (n_735));
INLX2 g87715(.A (n_1527), .Q (n_734));
OR2LX1 g87725(.A (n_582), .B (n_567), .Q (n_1527));
NA2LX1 g87726(.A (n_651), .B (n_1898), .Q (n_733));
NA2LX1 g87727(.A (n_651), .B (n_570), .Q (n_732));
NA2LX1 g87728(.A (n_616), .B (n_705), .Q (n_1833));
NA3I1LX1 g87729(.B (n_581), .C (n_621), .AN (n_694), .Q (n_731));
AN21LX1 g87730(.A (n_603), .B (n_571), .C (n_665), .Q (n_730));
ON21LX1 g87731(.A (\ir[4] ), .B (n_1511), .C (n_1598), .Q (n_729));
NA3LX1 g87732(.A (n_630), .B (n_617), .C (n_625), .Q (n_728));
AN21LX1 g87733(.A (n_603), .B (n_591), .C (n_665), .Q (n_727));
NA3LX1 g87734(.A (n_622), .B (n_689), .C (n_611), .Q (n_726));
AN21LX1 g87735(.A (\sp[0] ), .B (n_611), .C (n_1711), .Q (n_725));
AN21LX1 g87736(.A (n_603), .B (n_576), .C (n_665), .Q (n_724));
AN21LX1 g87737(.A (n_603), .B (n_590), .C (n_665), .Q (n_723));
NO3LX1 g87738(.A (n_648), .B (n_580), .C (n_587), .Q (n_722));
NA3I1LX1 g87739(.B (n_659), .C (n_1533), .AN (n_622), .Q (n_721));
AN21LX1 g87740(.A (n_603), .B (n_575), .C (n_665), .Q (n_720));
ON21LX1 g87741(.A (n_583), .B (\ir[7] ), .C (\ir[5] ), .Q (n_719));
NO3LX1 g87742(.A (n_648), .B (n_580), .C (n_588), .Q (n_718));
OA21LX1 g87743(.A (n_627), .B (n_619), .C (n_670), .Q (n_717));
NO3LX1 g87744(.A (n_1842), .B (n_580), .C (n_608), .Q (n_1769));
NA3LX1 g87745(.A (n_633), .B (n_565), .C (reset_n), .Q (n_716));
HAALX1 g87746(.A (\pc[0] ), .B (\pc[1] ), .S (\next_pc[1] ), .CO
(n_715));
ON22LX1 g87747(.A (n_623), .B (data_in[6]), .C (n_1533), .D
(\temp_data[6] ), .Q (n_714));
MU2LX1 g87748(.S (n_568), .IN0 (n_601), .IN1 (n_1616), .Q (n_713));
EO2LX1 g87749(.A (\ir[3] ), .B (n_577), .Q (n_1743));
NA2LX1 g87750(.A (n_596), .B (n_570), .Q (n_712));
NA2LX1 g87751(.A (n_633), .B (n_634), .Q (n_1603));
NA2I1LX1 g87752(.B (n_568), .AN (n_1607), .Q (n_1606));
NO2LX1 g87753(.A (n_593), .B (n_1842), .Q (n_1693));
INLX1 g87755(.A (n_711), .Q (n_710));
NA2LX1 g87757(.A (n_604), .B (n_570), .Q (n_711));
INLX1 g87759(.A (n_1605), .Q (n_709));
NA2LX1 g87764(.A (n_635), .B (n_633), .Q (n_1605));
NO2I1X1 g87765(.B (\ir[6] ), .AN (\ir[5] ), .Q (n_566));
NO2LX1 g87766(.A (\ir[2] ), .B (n_582), .Q (n_708));
NO2LX1 g87767(.A (\ir[2] ), .B (n_632), .Q (n_707));
NA2LX1 g87768(.A (n_632), .B (\ir[2] ), .Q (n_706));
NO2LX1 g87769(.A (n_611), .B (n_570), .Q (n_705));
NA2LX1 g87770(.A (n_568), .B (n_612), .Q (n_1608));
NA2LX1 g87771(.A (n_601), .B (n_1660), .Q (n_704));
NA2LX1 g87772(.A (n_606), .B (n_619), .Q (n_703));
NO2LX1 g87773(.A (n_565), .B (n_633), .Q (n_1734));
NO2LX1 g87774(.A (\ir[2] ), .B (n_590), .Q (n_1646));
NO2LX1 g87775(.A (n_565), .B (n_634), .Q (n_1756));
INLX1 g87778(.A (n_702), .Q (n_701));
NA2LX1 g87780(.A (n_633), .B (\ir[4] ), .Q (n_702));
NO2LX1 g87781(.A (\ir[2] ), .B (n_576), .Q (n_1656));
NO2LX1 g87782(.A (\ir[5] ), .B (n_634), .Q (n_700));
INLX1 g87787(.A (n_699), .Q (n_1590));
NA2LX1 g87788(.A (n_21), .B (\ir[0] ), .Q (n_699));
NO2I1LX1 g87789(.B (n_634), .AN (\ir[5] ), .Q (n_1847));
NO2I1LX1 g87790(.B (\ir[2] ), .AN (\pc[1] ), .Q (n_1697));
INLX1 g87797(.A (n_1741), .Q (n_698));
OR2X1 g87798(.A (n_582), .B (n_1511), .Q (n_1741));
NO2LX1 g87799(.A (n_565), .B (n_591), .Q (n_1687));
NA2LX1 g87800(.A (n_568), .B (n_626), .Q (n_1613));
NO2LX1 g87801(.A (n_565), .B (n_576), .Q (n_1657));
NO2LX1 g87802(.A (\pc[0] ), .B (n_617), .Q (n_1708));
AND2LX1 g87803(.A (\pc[4] ), .B (\ir[7] ), .Q (n_1667));
NO2LX1 g87804(.A (\ir[2] ), .B (n_577), .Q (n_1706));
NA2LX1 g87805(.A (n_603), .B (n_568), .Q (n_697));
NO2LX1 g87806(.A (n_565), .B (n_577), .Q (n_1707));
NO2LX1 g87807(.A (\ir[2] ), .B (n_591), .Q (n_1686));
INLX1 g87808(.A (n_696), .Q (n_695));
NA2LX1 g87813(.A (n_580), .B (n_603), .Q (n_696));
NA2LX1 g87814(.A (n_1842), .B (n_611), .Q (n_694));
NA2LX1 g87815(.A (n_568), .B (n_1869), .Q (n_1612));
INLX1 g87816(.A (n_692), .Q (n_693));
NO2LX1 g87817(.A (n_608), .B (n_611), .Q (n_692));
NA2LX1 g87818(.A (n_568), .B (n_600), .Q (n_1610));
INLX1 g87825(.A (n_691), .Q (n_1857));
NA2LX1 g87826(.A (n_632), .B (\ir[3] ), .Q (n_691));
NO2LX1 g87827(.A (n_1679), .B (\sp[0] ), .Q (n_1542));
NO2LX1 g87828(.A (n_633), .B (n_635), .Q (n_1579));
NO2I1LX1 g87829(.B (n_602), .AN (n_568), .Q (n_690));
AND2LX1 g87830(.A (\pc[4] ), .B (n_635), .Q (n_1666));
NA2LX1 g87831(.A (n_628), .B (n_570), .Q (n_689));
INLX1 g87832(.A (n_1596), .Q (n_688));
NA2X1 g87838(.A (\ir[3] ), .B (n_1513), .Q (n_1596));
AND2LX1 g87839(.A (\pc[8] ), .B (\ir[5] ), .Q (n_1563));
NO2LX1 g87840(.A (n_1534), .B (n_605), .Q (n_686));
INLX1 g87841(.A (n_684), .Q (n_685));
NA2LX1 g87844(.A (n_582), .B (\ir[4] ), .Q (n_684));
NA2LX1 g87845(.A (n_611), .B (n_1616), .Q (n_683));
NA2LX1 g87846(.A (n_582), .B (n_28), .Q (n_682));
INLX1 g87848(.A (n_1599), .Q (n_681));
OR2LX1 g87850(.A (\ir[4] ), .B (n_1512), .Q (n_1599));
INLX2 g87851(.A (n_1598), .Q (n_680));
NA2LX1 g87858(.A (n_582), .B (n_631), .Q (n_1598));
NA2LX1 g87859(.A (\ir[4] ), .B (reset_n), .Q (n_679));
NA2LX1 g87860(.A (n_565), .B (\ir[5] ), .Q (n_1864));
NA2LX1 g87861(.A (n_633), .B (\ir[0] ), .Q (n_678));
NA2LX1 g87862(.A (n_616), .B (n_619), .Q (n_677));
NO2LX1 g87863(.A (\ir[2] ), .B (n_633), .Q (n_1898));
NA2I1LX1 g87864(.B (n_565), .AN (\ir[5] ), .Q (n_1634));
NO2LX1 g87865(.A (n_565), .B (n_571), .Q (n_1637));
INLX1 g87866(.A (n_676), .Q (n_675));
NA2LX1 g87868(.A (\ir[3] ), .B (\ir[6] ), .Q (n_676));
NO2LX1 g87869(.A (n_595), .B (n_1842), .Q (n_1672));
NA2LX1 g87870(.A (n_596), .B (data_in[0]), .Q (n_1615));
NO2LX1 g87871(.A (\ir[2] ), .B (n_575), .Q (n_1676));
NO2LX1 g87872(.A (\ir[7] ), .B (\ir[4] ), .Q (n_674));
NO2I1LX1 g87873(.B (n_565), .AN (\pc[1] ), .Q (n_1698));
NO2LX1 g87874(.A (n_572), .B (\ir[5] ), .Q (n_673));
INLX1 g87880(.A (n_1861), .Q (n_672));
NA2X1 g87882(.A (n_634), .B (\ir[7] ), .Q (n_1861));
NA2LX1 g87883(.A (n_1679), .B (n_603), .Q (n_671));
NO2LX1 g87884(.A (\ir[2] ), .B (n_571), .Q (n_1636));
NO2LX1 g87885(.A (n_565), .B (n_590), .Q (n_1647));
NO2LX1 g87886(.A (n_588), .B (n_605), .Q (n_670));
OR2LX1 g87887(.A (n_618), .B (n_620), .Q (\sp_minus_one[1] ));
NO2LX1 g87888(.A (n_570), .B (n_1679), .Q (n_1544));
INLX1 g87890(.A (n_669), .Q (n_668));
NA2LX1 g87893(.A (n_583), .B (n_1511), .Q (n_669));
NO2LX1 g87894(.A (\pc[0] ), .B (n_611), .Q (n_1711));
NO2LX1 g87895(.A (n_570), .B (n_617), .Q (n_1797));
INLX1 g87896(.A (n_666), .Q (n_667));
NA2LX1 g87897(.A (n_1533), .B (n_1534), .Q (n_666));
NO2LX1 g87898(.A (n_565), .B (n_575), .Q (n_1821));
NO2I1LX1 g87899(.B (n_1679), .AN (n_603), .Q (n_665));
INLX1 g87900(.A (n_663), .Q (n_664));
NA2LX1 g87906(.A (\ir[4] ), .B (\ir[2] ), .Q (n_663));
INLX1 g87909(.A (n_662), .Q (n_661));
NA2LX1 g87911(.A (n_621), .B (n_580), .Q (n_662));
INLX1 g87913(.A (n_1854), .Q (n_660));
NA2LX1 g87918(.A (n_633), .B (n_632), .Q (n_1854));
INLX1 g87923(.A (n_659), .Q (n_658));
NA2LX1 g87925(.A (n_1679), .B (n_570), .Q (n_659));
NA2LX1 g87926(.A (n_631), .B (n_1512), .Q (n_657));
AND2LX1 g87927(.A (n_631), .B (\ir[7] ), .Q (n_656));
NO2LX1 g87928(.A (n_635), .B (n_21), .Q (n_655));
NA2LX1 g87929(.A (n_631), .B (n_28), .Q (n_567));
NA2LX1 g87930(.A (n_582), .B (\ir[6] ), .Q (n_654));
NO2LX1 g87931(.A (n_569), .B (n_1660), .Q (n_653));
NA2LX1 g87932(.A (n_608), .B (n_580), .Q (n_652));
NO2I1LX1 g87933(.B (n_1842), .AN (data_in[2]), .Q (n_1682));
INLX1 g87934(.A (n_650), .Q (n_651));
NA2LX1 g87938(.A (n_587), .B (n_631), .Q (n_650));
NA2LX1 g87939(.A (n_596), .B (data_in[7]), .Q (n_1602));
NA2I1X1 g87940(.B (n_634), .AN (\ir[5] ), .Q (n_1863));
NO2LX1 g87941(.A (n_592), .B (n_1842), .Q (n_1663));
INLX1 g87943(.A (n_648), .Q (n_649));
NA2I1LX1 g87948(.B (n_621), .AN (n_568), .Q (n_648));
NA2LX1 g87949(.A (n_620), .B (\sp[2] ), .Q (n_647));
INLX1 g87952(.A (n_646), .Q (n_645));
NA2LX1 g87953(.A (n_596), .B (n_569), .Q (n_646));
NA2LX1 g87954(.A (n_606), .B (n_596), .Q (n_644));
INLX1 g87957(.A (n_643), .Q (n_642));
NA2LX1 g87959(.A (n_1660), .B (n_570), .Q (n_643));
NA2LX1 g87960(.A (n_628), .B (n_569), .Q (n_641));
NO3LX1 g87961(.A (n_1532), .B (n_588), .C (\state[3] ), .Q (n_640));
AND4LX1 g87962(.A (\pc[7] ), .B (\pc[6] ), .C (\pc[4] ), .D (\pc[5]
), .Q (n_1819));
MU2LX1 g87963(.S (reset_n), .IN0 (\sp[4] ), .IN1 (\pc[4] ), .Q
(n_639));
MU2LX1 g87964(.S (reset_n), .IN0 (\sp[0] ), .IN1 (\pc[0] ), .Q
(n_638));
MU2LX1 g87965(.S (reset_n), .IN0 (\sp[3] ), .IN1 (\pc[3] ), .Q
(n_637));
MU2LX1 g87966(.S (reset_n), .IN0 (\sp[5] ), .IN1 (\pc[5] ), .Q
(n_636));
INLX2 g88013(.A (\ir[2] ), .Q (n_635));
BULX3 g88016(.A (n_1512), .Q (\ir[2] ));
INX1 g88018(.A (\ir[6] ), .Q (n_634));
INLX3 g88091(.A (\ir[3] ), .Q (n_633));
INLX3 g88119(.A (\ir[4] ), .Q (n_632));
BULX3 g88124(.A (n_1513), .Q (\ir[4] ));
INLX3 g88154(.A (n_631), .Q (\ir[0] ));
INX2 g88169(.A (n_1511), .Q (n_631));
NA2LX1 g88171(.A (n_588), .B (n_570), .Q (n_630));
NA2LX1 g88172(.A (n_570), .B (n_587), .Q (n_629));
INLX2 g88173(.A (n_1842), .Q (n_628));
NA2X1 g88184(.A (n_588), .B (n_568), .Q (n_1842));
AND2LX1 g88185(.A (\pc[4] ), .B (n_587), .Q (n_1618));
NO2LX1 g88186(.A (n_593), .B (n_587), .Q (n_1694));
NA2X1 g88187(.A (n_569), .B (n_568), .Q (n_1533));
INLX1 g88193(.A (n_1534), .Q (n_627));
OR2LX1 g88194(.A (n_569), .B (n_568), .Q (n_1534));
INLX1 g88196(.A (n_1614), .Q (n_626));
NA2LX1 g88197(.A (n_587), .B (data_in[1]), .Q (n_1614));
NO2I1LX1 g88198(.B (n_588), .AN (data_in[0]), .Q (n_1895));
INLX1 g88199(.A (n_625), .Q (n_624));
NA2X1 g88200(.A (n_587), .B (n_569), .Q (n_625));
NA2I1X1 g88201(.B (n_569), .AN (n_568), .Q (n_623));
NO2LX1 g88202(.A (n_588), .B (n_589), .Q (n_1884));
NO2I1LX1 g88203(.B (n_587), .AN (data_in[2]), .Q (n_1683));
NA2LX1 g88204(.A (n_581), .B (n_573), .Q (n_622));
INLX2 g88212(.A (n_1860), .Q (n_621));
NA2LX1 g88213(.A (n_570), .B (n_573), .Q (n_1860));
NO2LX1 g88214(.A (n_595), .B (n_587), .Q (n_1673));
NO2LX1 g88215(.A (n_591), .B (n_583), .Q (n_1685));
AND2LX1 g88216(.A (\sp[1] ), .B (\sp[0] ), .Q (n_620));
INLX1 g88221(.A (n_1532), .Q (n_619));
NA2LX1 g88223(.A (n_570), .B (n_568), .Q (n_1532));
NA2LX1 g88224(.A (n_587), .B (\pc[0] ), .Q (n_1703));
AND2LX1 g88225(.A (n_1909), .B (n_587), .Q (n_1871));
NA2LX1 g88226(.A (n_587), .B (\pc[1] ), .Q (n_1695));
NA2LX1 g88227(.A (n_587), .B (n_577), .Q (n_1746));
NO2LX1 g88228(.A (\sp[0] ), .B (\sp[1] ), .Q (n_618));
NO2LX1 g88229(.A (n_571), .B (n_583), .Q (n_1635));
NO2LX1 g88230(.A (n_591), .B (n_588), .Q (n_1619));
NO2I1LX1 g88231(.B (n_583), .AN (\pc[4] ), .Q (n_1665));
NA2LX1 g88232(.A (\pc[5] ), .B (n_587), .Q (n_1653));
NA2LX1 g88233(.A (n_587), .B (data_in[5]), .Q (n_1607));
NA2LX1 g88234(.A (\pc[6] ), .B (n_587), .Q (n_1643));
NO2LX1 g88235(.A (n_577), .B (n_583), .Q (n_1705));
INLX2 g88236(.A (n_617), .Q (n_1660));
OR2LX1 g88251(.A (\state[1] ), .B (n_587), .Q (n_617));
NO2I1LX1 g88252(.B (n_583), .AN (\pc[1] ), .Q (n_1696));
NO2LX1 g88253(.A (n_573), .B (n_580), .Q (n_616));
NO2LX1 g88254(.A (n_590), .B (n_583), .Q (n_1645));
AND2LX1 g88255(.A (\pc[12] ), .B (n_587), .Q (n_1751));
NA2LX1 g88256(.A (n_587), .B (data_in[7]), .Q (n_1604));
NA2LX1 g88257(.A (n_587), .B (\pc[3] ), .Q (n_1674));
INLX1 g88258(.A (n_615), .Q (n_614));
NA2LX1 g88259(.A (n_580), .B (n_587), .Q (n_615));
NO2LX1 g88260(.A (n_576), .B (n_583), .Q (n_1655));
NO2LX1 g88261(.A (n_588), .B (n_594), .Q (n_1798));
NA2LX1 g88262(.A (n_569), .B (n_580), .Q (n_613));
NO2LX1 g88263(.A (n_583), .B (n_575), .Q (n_1675));
INLX1 g88265(.A (n_1609), .Q (n_612));
NA2LX1 g88266(.A (n_587), .B (data_in[4]), .Q (n_1609));
NO2I1LX1 g88267(.B (n_588), .AN (data_in[2]), .Q (n_1869));
INLX2 g88271(.A (n_611), .Q (n_1679));
OR2X1 g88282(.A (n_588), .B (n_568), .Q (n_611));
INLX1 g88284(.A (n_610), .Q (n_609));
NA2LX1 g88285(.A (n_569), .B (n_588), .Q (n_610));
INLX2 g88286(.A (n_608), .Q (n_1616));
NA2LX1 g88290(.A (n_569), .B (\state[3] ), .Q (n_608));
INLX2 g88298(.A (n_607), .Q (n_606));
OR2LX1 g88300(.A (\state[4] ), .B (\state[3] ), .Q (n_607));
INLX1 g88302(.A (n_605), .Q (n_604));
OR2X1 g88308(.A (\state[4] ), .B (n_573), .Q (n_605));
NO2X1 g88309(.A (\state[3] ), .B (n_570), .Q (n_603));
INLX1 g88314(.A (n_602), .Q (n_601));
NA2LX1 g88315(.A (n_570), .B (\state[3] ), .Q (n_602));
NO2LX1 g88316(.A (n_592), .B (n_587), .Q (n_1664));
NA2LX1 g88317(.A (\pc[7] ), .B (n_587), .Q (n_1632));
AND2LX1 g88318(.A (\temp_addr[8] ), .B (n_588), .Q (n_1564));
INLX1 g88320(.A (n_1611), .Q (n_600));
NA2LX1 g88321(.A (n_587), .B (data_in[3]), .Q (n_1611));
INLX1 g88322(.A (n_598), .Q (n_599));
NA2LX1 g88323(.A (n_580), .B (n_570), .Q (n_598));
INLX1 g88326(.A (n_597), .Q (n_596));
NA2LX1 g88332(.A (n_568), .B (n_587), .Q (n_597));
INLX1 g88334(.A (data_in[3]), .Q (n_595));
INLX1 g88337(.A (\pc[11] ), .Q (n_594));
INLX1 g88339(.A (data_in[1]), .Q (n_593));
INLX1 g88341(.A (data_in[4]), .Q (n_592));
INLX1 g88344(.A (\pc[2] ), .Q (n_591));
INLX1 g88348(.A (\pc[6] ), .Q (n_590));
INLX1 g88353(.A (\pc[9] ), .Q (n_589));
INX2 g88408(.A (n_587), .Q (n_588));
INLX8 g88414(.A (\state[0] ), .Q (n_587));
INLX1 g88416(.A (\sp[6] ), .Q (n_585));
INLX1 g88419(.A (\sp[3] ), .Q (n_584));
INLX2 g88457(.A (n_582), .Q (n_583));
BULX4 g88461(.A (n_21), .Q (n_582));
INLX4 g88499(.A (n_581), .Q (n_580));
BULX8 g88500(.A (\state[4] ), .Q (n_581));
INLX1 g88503(.A (\sp[4] ), .Q (n_579));
INLX1 g88505(.A (\sp[2] ), .Q (n_578));
INLX2 g88516(.A (\pc[0] ), .Q (n_577));
INLX1 g88519(.A (\pc[5] ), .Q (n_576));
INLX1 g88523(.A (\pc[3] ), .Q (n_575));
INLX1 g88526(.A (\sp[5] ), .Q (n_574));
INLX2 g88527(.A (\state[3] ), .Q (n_573));
INLX3 g88536(.A (reset_n), .Q (n_572));
INLX1 g88544(.A (\pc[7] ), .Q (n_571));
BULX8 g88604(.A (\state[1] ), .Q (n_568));
INLX3 g88629(.A (\ir[7] ), .Q (n_565));
DFRRAQLX1 \address_reg[8] (.RN (reset_n), .C (clk), .D (n_562), .Q
(address[8]));
DFRRAQLX1 \index_reg[6] (.RN (reset_n), .C (rc_gclk_17719), .D
(n_389), .Q (\index[6] ));
DFRRAQLX1 \ir_reg[1] (.RN (reset_n), .C (rc_gclk_17722), .D
(data_in[1]), .Q (n_21));
DFRRAQLX1 mem_rw_reg(.RN (reset_n), .C (clk), .D (n_516), .Q
(mem_rw));
DFRRAQLX1 \pc_reg[0] (.RN (reset_n), .C (rc_gclk_17725), .D (n_541),
.Q (\pc[0] ));
DFRRAQLX1 \pc_reg[10] (.RN (reset_n), .C (rc_gclk_17728), .D (n_557),
.Q (n_1909));
DFRRAQLX1 \pc_reg[11] (.RN (reset_n), .C (rc_gclk_17728), .D (n_554),
.Q (\pc[11] ));
DFRRAQLX1 \pc_reg[12] (.RN (reset_n), .C (rc_gclk_17728), .D (n_556),
.Q (\pc[12] ));
DFRRQX1 \pc_reg[1] (.RN (reset_n), .C (rc_gclk_17725), .D (n_526), .Q
(\pc[1] ));
DFRRAQLX1 \pc_reg[2] (.RN (reset_n), .C (rc_gclk_17725), .D (n_539),
.Q (\pc[2] ));
DFRRAQLX1 \pc_reg[3] (.RN (reset_n), .C (rc_gclk_17725), .D (n_540),
.Q (\pc[3] ));
DFRRQX1 \pc_reg[4] (.RN (reset_n), .C (rc_gclk_17725), .D (n_542), .Q
(\pc[4] ));
DFRRQX1 \pc_reg[5] (.RN (reset_n), .C (rc_gclk_17725), .D (n_537), .Q
(\pc[5] ));
DFRRAQLX1 \pc_reg[6] (.RN (reset_n), .C (rc_gclk_17725), .D (n_550),
.Q (\pc[6] ));
DFRRAQLX1 \pc_reg[7] (.RN (reset_n), .C (rc_gclk_17725), .D (n_532),
.Q (\pc[7] ));
DFRRAQLX1 \pc_reg[8] (.RN (reset_n), .C (rc_gclk_17728), .D (n_552),
.Q (\pc[8] ));
DFRRAQLX1 \pc_reg[9] (.RN (reset_n), .C (rc_gclk_17728), .D (n_549),
.Q (\pc[9] ));
DFRRAQLX1 \rst_counter_reg[0] (.RN (reset_n), .C (rc_gclk_17731), .D
(n_35), .Q (\rst_counter[0] ));
DFRRAQLX1 \rst_counter_reg[1] (.RN (reset_n), .C (rc_gclk_17731), .D
(n_168), .Q (\rst_counter[1] ));
DFRRAQLX1 \rst_counter_reg[2] (.RN (reset_n), .C (rc_gclk_17731), .D
(n_299), .Q (\rst_counter[2] ));
DFRSAQLX1 \sp_reg[0] (.SN (reset_n), .C (rc_gclk_17734), .D (n_387),
.Q (\sp[0] ));
DFRSAQLX1 \sp_reg[1] (.SN (reset_n), .C (rc_gclk_17734), .D (n_385),
.Q (\sp[1] ));
DFRSAQLX1 \sp_reg[2] (.SN (reset_n), .C (rc_gclk_17734), .D (n_384),
.Q (\sp[2] ));
DFRSAQLX1 \sp_reg[3] (.SN (reset_n), .C (rc_gclk_17734), .D (n_383),
.Q (\sp[3] ));
DFRSAQLX1 \sp_reg[4] (.SN (reset_n), .C (rc_gclk_17734), .D (n_382),
.Q (\sp[4] ));
DFRSAQLX1 \sp_reg[5] (.SN (reset_n), .C (rc_gclk_17734), .D (n_381),
.Q (\sp[5] ));
DFRSAQLX1 \sp_reg[6] (.SN (reset_n), .C (rc_gclk_17734), .D (n_380),
.Q (\sp[6] ));
DFRSAQLX1 \sp_reg[7] (.SN (reset_n), .C (rc_gclk_17734), .D (n_379),
.Q (\sp[7] ));
DFRSAQLX1 \state_reg[0] (.SN (reset_n), .C (clk), .D (n_544), .Q
(\state[0] ));
DFRSAQLX1 \state_reg[1] (.SN (reset_n), .C (clk), .D (n_559), .Q
(\state[1] ));
DFRSAQLX1 \state_reg[2] (.SN (reset_n), .C (clk), .D (n_551), .Q
(\state[2] ));
DFRSQX2 \state_reg[3] (.SN (reset_n), .C (clk), .D (n_525), .Q
(\state[3] ));
DFRSAQLX1 \state_reg[4] (.SN (reset_n), .C (clk), .D (n_538), .Q
(\state[4] ));
DFRRAQLX1 \temp_addr_reg[0] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_456), .Q (\temp_addr[0] ));
DFRRAQLX1 \temp_addr_reg[10] (.RN (reset_n), .C (rc_gclk_17740), .D
(n_376), .Q (\temp_addr[10] ));
DFRRAQLX1 \temp_addr_reg[11] (.RN (reset_n), .C (rc_gclk_17740), .D
(n_375), .Q (\temp_addr[11] ));
DFRRAQLX1 \temp_addr_reg[12] (.RN (reset_n), .C (rc_gclk_17740), .D
(n_374), .Q (\temp_addr[12] ));
DFRRAQLX1 \temp_addr_reg[1] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_434), .Q (\temp_addr[1] ));
DFRRAQLX1 \temp_addr_reg[2] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_424), .Q (\temp_addr[2] ));
DFRRAQLX1 \temp_addr_reg[3] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_432), .Q (\temp_addr[3] ));
DFRRAQLX1 \temp_addr_reg[4] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_431), .Q (\temp_addr[4] ));
DFRRAQLX1 \temp_addr_reg[5] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_455), .Q (\temp_addr[5] ));
DFRRAQLX1 \temp_addr_reg[6] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_423), .Q (\temp_addr[6] ));
DFRRAQLX1 \temp_addr_reg[7] (.RN (reset_n), .C (rc_gclk_17737), .D
(n_454), .Q (\temp_addr[7] ));
DFRRAQLX1 \temp_addr_reg[8] (.RN (reset_n), .C (rc_gclk_17740), .D
(n_414), .Q (\temp_addr[8] ));
DFRRAQLX1 \temp_addr_reg[9] (.RN (reset_n), .C (rc_gclk_17740), .D
(n_373), .Q (\temp_addr[9] ));
DFRRAQLX1 \temp_data_reg[6] (.RN (reset_n), .C (rc_gclk_17743), .D
(n_439), .Q (\temp_data[6] ));
NA2I1LX1 g24608(.B (n_343), .AN (n_561), .Q (n_562));
MU2LX1 g24609(.S (n_1846), .IN0 (address[8]), .IN1 (n_560), .Q
(n_561));
AO321LX1 g24611(.A (n_1595), .B (n_57), .C (n_319), .D (n_58), .E
(n_425), .F (n_558), .Q (n_560));
AN21LX1 g24612(.A (n_251), .B (n_555), .C (n_1839), .Q (n_559));
NA5I2LX1 g24615(.C (n_429), .D (n_512), .E (n_485), .AN (n_553), .BN
(n_470), .Q (n_558));
NA5I1LX1 g24618(.B (n_286), .C (n_304), .D (n_344), .E (n_548), .AN
(n_386), .Q (n_557));
AO211LX1 g24619(.A (n_1752), .B (n_202), .C (n_546), .D (n_430), .Q
(n_556));
AN321LX1 g24620(.A (n_225), .B (n_61), .C (n_1796), .D (n_202), .E
(n_1792), .F (n_545), .Q (n_555));
AO21LX1 g24624(.A (n_1802), .B (n_202), .C (n_547), .Q (n_554));
ON221LX1 g24625(.A (n_269), .B (n_1544), .C (n_473), .D (n_232), .E
(n_543), .Q (n_553));
NA6I3LX1 g24626(.D (n_411), .E (n_418), .F (n_485), .AN (n_453), .BN
(n_400), .CN (n_527), .Q (n_552));
AN31LX1 g24632(.A (n_451), .B (n_521), .C (n_180), .D (n_1839), .Q
(n_551));
AO221LX1 g24633(.A (n_229), .B (n_1648), .C (n_138), .D (n_1649), .E
(n_533), .Q (n_550));
NA5I1LX1 g24634(.B (n_295), .C (n_402), .D (n_410), .E (n_306), .AN
(n_536), .Q (n_549));
AN221LX1 g24635(.A (n_317), .B (n_1881), .C (n_92), .D (n_1867), .E
(n_531), .Q (n_548));
NA5I1LX1 g24636(.B (n_415), .C (n_408), .D (n_302), .E (n_530), .AN
(n_405), .Q (n_547));
AO221LX1 g24637(.A (n_1748), .B (n_92), .C (n_317), .D (n_1788), .E
(n_529), .Q (n_546));
AO221LX1 g24638(.A (n_229), .B (n_1849), .C (n_346), .D (n_288), .E
(n_534), .Q (n_545));
AN21LX1 g24643(.A (n_335), .B (n_522), .C (n_1839), .Q (n_544));
AN221LX1 g24644(.A (n_465), .B (n_159), .C (n_134), .D (n_186), .E
(n_528), .Q (n_543));
AO211LX1 g24645(.A (n_1668), .B (n_229), .C (n_514), .D (n_523), .Q
(n_542));
AO21LX1 g24646(.A (n_1709), .B (n_229), .C (n_535), .Q (n_541));
NA5LX1 g24647(.A (n_272), .B (n_524), .C (n_313), .D (n_340), .E
(n_452), .Q (n_540));
AO211LX1 g24648(.A (n_1688), .B (n_229), .C (n_462), .D (n_517), .Q
(n_539));
AN21LX1 g24650(.A (n_395), .B (n_509), .C (n_1839), .Q (n_538));
AO211LX1 g24651(.A (n_1658), .B (n_229), .C (n_490), .D (n_513), .Q
(n_537));
AO221LX1 g24652(.A (n_1883), .B (n_206), .C (n_1364), .D (n_92), .E
(n_518), .Q (n_536));
AO211LX1 g24653(.A (n_390), .B (data_in[0]), .C (n_519), .D (n_463),
.Q (n_535));
OR6LX1 g24654(.A (n_494), .B (n_444), .C (n_173), .D (n_427), .E
(n_287), .F (n_230), .Q (n_534));
AO21LX1 g24655(.A (n_1652), .B (n_317), .C (n_520), .Q (n_533));
AO211LX1 g24656(.A (n_1638), .B (n_229), .C (n_515), .D (n_502), .Q
(n_532));
AO221LX1 g24657(.A (n_354), .B (n_1880), .C (n_1868), .D (n_206), .E
(n_506), .Q (n_531));
AN221LX1 g24658(.A (n_279), .B (n_1866), .C (n_206), .D (n_1790), .E
(n_505), .Q (n_530));
AO221LX1 g24659(.A (n_354), .B (n_1787), .C (n_1749), .D (n_206), .E
(n_504), .Q (n_529));
AO221LX1 g24660(.A (n_239), .B (n_159), .C (n_179), .D (n_316), .E
(n_507), .Q (n_528));
ON321LX1 g24661(.A (n_581), .B (n_441), .C (n_243), .D (n_371), .E
(n_240), .F (n_511), .Q (n_527));
AO211LX1 g24662(.A (n_1699), .B (n_229), .C (n_500), .D (n_503), .Q
(n_526));
AN21LX1 g24664(.A (n_395), .B (n_498), .C (n_1839), .Q (n_525));
AN221LX1 g24665(.A (\pc[3] ), .B (n_461), .C (n_1681), .D (n_317), .E
(n_493), .Q (n_524));
AO221LX1 g24666(.A (n_138), .B (n_1669), .C (n_317), .D (n_1671), .E
(n_492), .Q (n_523));
AN311LX1 g24667(.A (n_1596), .B (n_1603), .C (n_397), .D (n_458), .E
(n_508), .Q (n_522));
AN211LX1 g24668(.A (n_1826), .B (n_209), .C (n_460), .D (n_510), .Q
(n_521));
ON211LX1 g24669(.A (n_1643), .B (n_204), .C (n_497), .D (n_483), .Q
(n_520));
AO221LX1 g24670(.A (n_202), .B (n_31), .C (\pc[0] ), .D (n_461), .E
(n_499), .Q (n_519));
AO221LX1 g24671(.A (n_317), .B (n_1893), .C (n_279), .D (n_1894), .E
(n_501), .Q (n_518));
AO221LX1 g24672(.A (n_202), .B (n_1684), .C (\pc[2] ), .D (n_461), .E
(n_495), .Q (n_517));
AND2LX1 g24673(.A (n_491), .B (n_1872), .Q (n_516));
AO211LX1 g24674(.A (n_1565), .B (n_77), .C (n_482), .D (n_307), .Q
(n_515));
ON211LX1 g24675(.A (n_1753), .B (n_201), .C (n_412), .D (n_488), .Q
(n_514));
AO221LX1 g24676(.A (n_317), .B (n_1662), .C (n_472), .D (\next_pc[5]
), .E (n_476), .Q (n_513));
AN211LX1 g24677(.A (n_1564), .B (n_196), .C (n_487), .D (n_323), .Q
(n_512));
AN211LX1 g24678(.A (\pc[8] ), .B (n_420), .C (n_489), .D (n_264), .Q
(n_511));
NA4I3LX1 g24679(.D (n_268), .AN (n_258), .BN (n_496), .CN (n_308), .Q
(n_510));
OA211LX1 g24680(.A (n_391), .B (n_1756), .C (n_326), .D (n_486), .Q
(n_509));
OR8LX1 g24681(.A (n_365), .B (n_333), .C (n_248), .D (n_446), .E
(n_289), .F (n_334), .G (n_364), .H (n_358), .Q (n_508));
AO321LX1 g24682(.A (n_572), .B (n_632), .C (n_422), .D (n_399), .E
(\pc[8] ), .F (n_484), .Q (n_507));
AO211LX1 g24683(.A (\next_pc[10] ), .B (n_464), .C (n_480), .D
(n_255), .Q (n_506));
AO211LX1 g24684(.A (\next_pc[11] ), .B (n_464), .C (n_479), .D
(n_256), .Q (n_505));
AO211LX1 g24685(.A (\next_pc[12] ), .B (n_464), .C (n_478), .D
(n_254), .Q (n_504));
AO221LX1 g24686(.A (\pc[1] ), .B (n_461), .C (\next_pc[1] ), .D
(n_472), .E (n_447), .Q (n_503));
AO222LX1 g24687(.A (n_472), .B (\next_pc[7] ), .C (n_317), .D
(n_1642), .E (n_356), .F (n_1641), .Q (n_502));
AO211LX1 g24688(.A (n_1348), .B (n_464), .C (n_481), .D (n_263), .Q
(n_501));
ON211LX1 g24689(.A (n_1786), .B (n_201), .C (n_341), .D (n_477), .Q
(n_500));
AO222LX1 g24690(.A (n_317), .B (n_1743), .C (n_577), .D (n_472), .E
(n_356), .F (n_1742), .Q (n_499));
AN211LX1 g24691(.A (n_282), .B (n_421), .C (n_474), .D (n_468), .Q
(n_498));
AN222LX1 g24692(.A (\pc[6] ), .B (n_461), .C (n_1651), .D (n_356), .E
(n_472), .F (\next_pc[6] ), .Q (n_497));
ON211LX1 g24693(.A (n_171), .B (n_91), .C (n_467), .D (n_345), .Q
(n_496));
AO222LX1 g24694(.A (\next_pc[2] ), .B (n_472), .C (n_317), .D
(n_1691), .E (n_356), .F (n_1690), .Q (n_495));
AO222LX1 g24695(.A (n_611), .B (n_215), .C (n_0), .D (n_457), .E
(n_7), .F (n_138), .Q (n_494));
AO22LX1 g24696(.A (\next_pc[3] ), .B (n_472), .C (n_1680), .D
(n_356), .Q (n_493));
AO22LX1 g24697(.A (\next_pc[4] ), .B (n_472), .C (n_1670), .D
(n_356), .Q (n_492));
MU2LX1 g24698(.S (n_128), .IN0 (mem_rw), .IN1 (n_475), .Q (n_491));
AO221LX1 g24699(.A (n_138), .B (n_1659), .C (n_77), .D (n_1570), .E
(n_466), .Q (n_490));
AO322LX1 g24700(.A (n_1895), .B (n_7), .C (n_226), .D (data_in[0]),
.E (n_273), .F (n_459), .G (\pc[8] ), .Q (n_489));
AN221LX1 g24701(.A (data_in[4]), .B (n_357), .C (n_461), .D (\pc[4]
), .E (n_367), .Q (n_488));
ON311LX1 g24702(.A (n_267), .B (n_124), .C (n_141), .D (n_469), .E
(n_266), .Q (n_487));
NO4I1LX1 g24703(.B (n_471), .C (n_393), .D (n_358), .AN (n_377), .Q
(n_486));
AN31LX1 g24704(.A (n_274), .B (n_441), .C (n_1572), .D (n_352), .Q
(n_485));
ON221LX1 g24705(.A (n_156), .B (n_1544), .C (n_157), .D (n_349), .E
(n_449), .Q (n_484));
AN221LX1 g24706(.A (n_77), .B (n_1567), .C (n_1644), .D (n_202), .E
(n_450), .Q (n_483));
AO221LX1 g24707(.A (\pc[7] ), .B (n_461), .C (data_in[7]), .D
(n_390), .E (n_438), .Q (n_482));
AO222LX1 g24708(.A (n_433), .B (\pc[9] ), .C (n_356), .D (n_1528), .E
(data_in[1]), .F (n_273), .Q (n_481));
AO222LX1 g24709(.A (n_433), .B (n_1909), .C (n_356), .D (n_1529), .E
(data_in[2]), .F (n_273), .Q (n_480));
AO222LX1 g24710(.A (n_433), .B (\pc[11] ), .C (n_356), .D (n_1530),
.E (data_in[3]), .F (n_273), .Q (n_479));
AO222LX1 g24711(.A (n_433), .B (\pc[12] ), .C (n_356), .D (n_1531),
.E (data_in[4]), .F (n_273), .Q (n_478));
AN221LX1 g24712(.A (n_77), .B (n_1591), .C (n_283), .D (\temp_addr[1]
), .E (n_448), .Q (n_477));
AO22LX1 g24713(.A (n_461), .B (\pc[5] ), .C (n_1661), .D (n_356), .Q
(n_476));
ON21LX1 g24717(.A (n_262), .B (n_1829), .C (n_445), .Q (n_475));
AO211LX1 g24718(.A (n_129), .B (n_153), .C (n_309), .D (n_435), .Q
(n_474));
AN32LX1 g24719(.A (n_33), .B (n_229), .C (n_442), .D (n_274), .E
(n_440), .Q (n_473));
AO211LX1 g24720(.A (n_193), .B (n_224), .C (n_409), .D (n_210), .Q
(n_472));
ON32LX1 g24721(.A (n_394), .B (n_569), .C (n_199), .D (n_211), .E
(n_66), .Q (n_471));
ON321LX1 g24722(.A (n_267), .B (n_1600), .C (n_199), .D (n_177), .E
(n_337), .F (n_428), .Q (n_470));
OA311LX1 g24723(.A (n_177), .B (n_130), .C (n_164), .D (n_443), .E
(n_336), .Q (n_469));
ON321LX1 g24724(.A (n_32), .B (n_162), .C (n_1850), .D (n_1679), .E
(n_426), .F (n_327), .Q (n_468));
AN321LX1 g24725(.A (n_97), .B (n_1874), .C (n_98), .D (n_103), .E
(n_170), .F (n_417), .Q (n_467));
AO221LX1 g24726(.A (n_202), .B (n_1654), .C (data_in[5]), .D (n_390),
.E (n_437), .Q (n_466));
ON22LX1 g24727(.A (n_419), .B (n_112), .C (n_227), .D (n_314), .Q
(n_465));
NA4I1LX1 g24735(.B (n_247), .C (n_353), .D (n_156), .AN (n_297), .Q
(n_464));
AO221LX1 g24736(.A (n_284), .B (n_117), .C (\temp_addr[0] ), .D
(n_283), .E (n_378), .Q (n_463));
AO221LX1 g24737(.A (n_284), .B (n_115), .C (data_in[2]), .D (n_357),
.E (n_413), .Q (n_462));
NA4I1LX1 g24738(.B (n_259), .C (n_318), .D (n_212), .AN (n_328), .Q
(n_461));
ON221LX1 g24739(.A (n_329), .B (n_222), .C (n_126), .D (n_276), .E
(n_360), .Q (n_460));
NA3I1LX1 g24740(.B (n_214), .C (n_212), .AN (n_433), .Q (n_459));
AN211LX1 g24741(.A (n_24), .B (n_1601), .C (n_1898), .D (n_436), .Q
(n_458));
NO4LX1 g24742(.A (n_32), .B (n_1590), .C (n_396), .D (n_1794), .Q
(n_457));
ON21LX1 g24743(.A (n_139), .B (n_1615), .C (n_416), .Q (n_456));
AO21LX1 g24744(.A (n_1537), .B (n_205), .C (n_407), .Q (n_455));
AO21LX1 g24745(.A (n_1535), .B (n_205), .C (n_406), .Q (n_454));
ON311LX1 g24746(.A (n_162), .B (n_348), .C (n_232), .D (n_403), .E
(n_175), .Q (n_453));
AN221LX1 g24747(.A (data_in[3]), .B (n_357), .C (n_1678), .D (n_138),
.E (n_372), .Q (n_452));
AN22LX1 g24748(.A (n_366), .B (n_1620), .C (n_294), .D (n_190), .Q
(n_451));
AO222LX1 g24749(.A (\temp_addr[6] ), .B (n_283), .C (data_in[6]), .D
(n_390), .E (n_284), .F (n_120), .Q (n_450));
AN321LX1 g24750(.A (n_572), .B (n_294), .C (\ir[0] ), .D (n_87), .E
(n_290), .F (n_398), .Q (n_449));
AO222LX1 g24751(.A (data_in[1]), .B (n_357), .C (n_138), .D (n_1700),
.E (n_1693), .F (n_89), .Q (n_448));
AO222LX1 g24752(.A (n_356), .B (n_1701), .C (n_317), .D (n_1702), .E
(n_203), .F (n_29), .Q (n_447));
AO333LX1 g24753(.A (n_1596), .B (\ir[4] ), .C (n_290), .D (n_631), .E
(n_350), .F (n_1801), .G (n_125), .H (n_57), .J (n_221), .Q
(n_446));
NO5I4LX1 g24754(.E (n_332), .AN (n_123), .BN (n_1834), .CN (n_1856),
.DN (n_343), .Q (n_445));
ON321LX1 g24755(.A (n_82), .B (n_260), .C (n_227), .D (n_236), .E
(n_1829), .F (n_331), .Q (n_444));
NA2LX1 g24769(.A (\pc[8] ), .B (n_392), .Q (n_443));
NA2I1LX1 g24770(.B (n_363), .AN (n_296), .Q (n_442));
INLX1 g24771(.A (n_440), .Q (n_441));
NA2I1LX1 g24772(.B (n_363), .AN (n_1896), .Q (n_440));
AND2LX1 g24773(.A (n_388), .B (data_in[6]), .Q (n_439));
ON211LX1 g24774(.A (n_1632), .B (n_204), .C (n_347), .D (n_361), .Q
(n_438));
ON211LX1 g24775(.A (n_1653), .B (n_204), .C (n_339), .D (n_351), .Q
(n_437));
AN32LX1 g24776(.A (n_104), .B (n_85), .C (n_221), .D (n_192), .E
(n_350), .Q (n_436));
OA21LX1 g24777(.A (n_311), .B (n_88), .C (n_282), .Q (n_435));
AO21LX1 g24778(.A (n_1541), .B (n_205), .C (n_370), .Q (n_434));
NA3I1LX1 g24779(.B (n_259), .C (n_318), .AN (n_284), .Q (n_433));
AO21LX1 g24780(.A (n_1539), .B (n_205), .C (n_369), .Q (n_432));
AO21LX1 g24781(.A (n_1538), .B (n_205), .C (n_368), .Q (n_431));
ON211LX1 g24782(.A (n_62), .B (n_280), .C (n_401), .D (n_342), .Q
(n_430));
ON211LX1 g24783(.A (page_crossed_1940), .B (n_246), .C (n_1572), .D
(n_225), .Q (n_429));
AN31LX1 g24784(.A (n_51), .B (n_21), .C (n_316), .D (n_315), .Q
(n_428));
ON211LX1 g24785(.A (n_1841), .B (n_145), .C (n_305), .D (n_330), .Q
(n_427));
AN21LX1 g24786(.A (n_300), .B (n_0), .C (n_202), .Q (n_426));
AO22LX1 g24787(.A (n_319), .B (n_1596), .C (n_316), .D (n_56), .Q
(n_425));
ON211LX1 g24788(.A (n_139), .B (n_1612), .C (n_271), .D (n_303), .Q
(n_424));
AO22LX1 g24789(.A (n_324), .B (data_in[6]), .C (n_1536), .D (n_205),
.Q (n_423));
ON22LX1 g24790(.A (n_292), .B (n_1863), .C (n_360), .D (n_781), .Q
(n_422));
ON21LX1 g24791(.A (n_164), .B (n_1789), .C (n_404), .Q (n_421));
AO22LX1 g24792(.A (n_317), .B (\ir[3] ), .C (n_356), .D (n_761), .Q
(n_420));
OA321LX1 g24793(.A (n_28), .B (n_137), .C (n_50), .D (n_633), .E
(n_321), .F (n_296), .Q (n_419));
OA22LX1 g24794(.A (n_320), .B (n_265), .C (n_240), .D (n_270), .Q
(n_418));
AO221LX1 g24795(.A (n_132), .B (n_1616), .C (n_275), .D (n_1844), .E
(n_220), .Q (n_417));
AN222LX1 g24796(.A (data_in[0]), .B (n_284), .C (n_1543), .D (n_205),
.E (n_1895), .F (n_203), .Q (n_416));
AN222LX1 g24797(.A (n_279), .B (n_1823), .C (n_1820), .D (n_224), .E
(n_317), .F (n_1865), .Q (n_415));
AO211LX1 g24798(.A (n_1572), .B (n_225), .C (n_359), .D (n_352), .Q
(n_414));
AO221LX1 g24799(.A (\temp_addr[2] ), .B (n_283), .C (n_203), .D
(n_1619), .E (n_310), .Q (n_413));
AN222LX1 g24800(.A (n_1663), .B (n_89), .C (n_113), .D (n_284), .E
(n_77), .F (n_1577), .Q (n_412));
OA32LX1 g24801(.A (n_13), .B (n_72), .C (n_322), .D (n_185), .E
(n_232), .Q (n_411));
AN22LX1 g24802(.A (n_1892), .B (n_354), .C (n_1889), .D (n_279), .Q
(n_410));
ON222LX1 g24803(.A (n_144), .B (n_222), .C (n_84), .D (n_293), .E
(n_1898), .F (n_277), .Q (n_409));
AN22LX1 g24804(.A (n_1824), .B (n_354), .C (n_1827), .D (n_281), .Q
(n_408));
ON221LX1 g24805(.A (n_1606), .B (n_139), .C (n_1607), .D (n_204), .E
(n_362), .Q (n_407));
ON221LX1 g24806(.A (n_1602), .B (n_139), .C (n_1604), .D (n_204), .E
(n_355), .Q (n_406));
ON222LX1 g24807(.A (n_280), .B (n_1837), .C (n_1545), .D (n_93), .E
(n_162), .F (n_45), .Q (n_405));
NA2LX1 g24809(.A (n_338), .B (n_1596), .Q (n_404));
ON21LX1 g24810(.A (n_297), .B (n_166), .C (n_159), .Q (n_403));
AN222LX1 g24811(.A (n_188), .B (n_1887), .C (n_1886), .D (n_161), .E
(n_281), .F (n_94), .Q (n_402));
AN221LX1 g24812(.A (n_209), .B (n_1785), .C (n_1754), .D (n_161), .E
(n_301), .Q (n_401));
AN31LX1 g24813(.A (n_187), .B (n_59), .C (n_116), .D (n_234), .Q
(n_400));
ON331LX1 g24814(.A (n_158), .B (n_48), .C (page_crossed_1940), .D
(n_33), .E (n_133), .F (n_194), .G (n_157), .Q (n_399));
AO211LX1 g24815(.A (n_153), .B (n_152), .C (n_359), .D (n_249), .Q
(n_398));
ON21LX1 g24816(.A (n_291), .B (n_1864), .C (n_292), .Q (n_397));
AN21LX1 g24817(.A (n_176), .B (n_261), .C (n_1793), .Q (n_396));
OA21LX1 g24818(.A (n_1829), .B (n_217), .C (n_335), .Q (n_395));
NA3I2LX1 g24819(.C (n_1595), .AN (n_312), .BN (n_1747), .Q (n_394));
AN21LX1 g24820(.A (n_298), .B (n_150), .C (n_1860), .Q (n_393));
ON22LX1 g24821(.A (n_141), .B (n_245), .C (n_199), .D (n_235), .Q
(n_392));
AN33LX1 g24822(.A (n_1601), .B (n_70), .C (n_290), .D (n_55), .E
(n_278), .F (n_1825), .Q (n_391));
AO21LX1 g24823(.A (n_89), .B (n_90), .C (n_357), .Q (n_390));
AO22LX1 g24824(.A (n_296), .B (n_108), .C (\temp_data[6] ), .D
(n_860), .Q (n_389));
NA3I1LX1 g24825(.B (n_325), .C (n_212), .AN (n_220), .Q (n_388));
AO222LX1 g24826(.A (n_182), .B (n_20), .C (n_221), .D (alu_x[0]), .E
(n_219), .F (n_1542), .Q (n_387));
AO222LX1 g24827(.A (n_188), .B (n_18), .C (n_281), .D (n_99), .E
(n_161), .F (n_1873), .Q (n_386));
AO222LX1 g24828(.A (n_182), .B (\sp_minus_one[1] ), .C (n_221), .D
(alu_x[1]), .E (n_219), .F (n_1592), .Q (n_385));
AO222LX1 g24829(.A (n_182), .B (\sp_minus_one[2] ), .C (n_221), .D
(alu_x[2]), .E (n_219), .F (n_1589), .Q (n_384));
AO222LX1 g24830(.A (n_182), .B (\sp_minus_one[3] ), .C (n_219), .D
(n_1587), .E (n_221), .F (alu_x[3]), .Q (n_383));
AO222LX1 g24831(.A (n_182), .B (\sp_minus_one[4] ), .C (n_219), .D
(n_1578), .E (n_221), .F (alu_x[4]), .Q (n_382));
AO222LX1 g24832(.A (n_182), .B (\sp_minus_one[5] ), .C (n_219), .D
(n_1571), .E (n_221), .F (alu_x[5]), .Q (n_381));
AO222LX1 g24833(.A (n_182), .B (\sp_minus_one[6] ), .C (n_219), .D
(n_1568), .E (n_221), .F (alu_x[6]), .Q (n_380));
AO222LX1 g24834(.A (n_182), .B (\sp_minus_one[7] ), .C (n_219), .D
(n_1566), .E (n_221), .F (alu_x[7]), .Q (n_379));
AO222LX1 g24835(.A (n_77), .B (n_1708), .C (n_203), .D (n_27), .E
(n_138), .F (n_1711), .Q (n_378));
AN221LX1 g24836(.A (n_221), .B (n_1692), .C (n_147), .D (n_208), .E
(n_253), .Q (n_377));
AO222LX1 g24837(.A (n_1682), .B (n_198), .C (n_225), .D (n_1574), .E
(n_1683), .F (n_223), .Q (n_376));
AO222LX1 g24838(.A (n_1672), .B (n_198), .C (n_225), .D (n_1575), .E
(n_1673), .F (n_223), .Q (n_375));
AO222LX1 g24839(.A (n_1663), .B (n_198), .C (n_225), .D (n_1576), .E
(n_1664), .F (n_223), .Q (n_374));
AO222LX1 g24840(.A (n_1693), .B (n_198), .C (n_225), .D (n_1573), .E
(n_1694), .F (n_223), .Q (n_373));
AO222LX1 g24841(.A (\temp_addr[3] ), .B (n_283), .C (n_203), .D
(n_38), .E (n_1672), .F (n_89), .Q (n_372));
AN211LX1 g24842(.A (n_237), .B (\ir[7] ), .C (n_32), .D (n_21), .Q
(n_371));
ON222LX1 g24843(.A (n_10), .B (n_201), .C (n_1613), .D (n_139), .E
(n_1614), .F (n_204), .Q (n_370));
ON222LX1 g24844(.A (n_19), .B (n_201), .C (n_1610), .D (n_139), .E
(n_1611), .F (n_204), .Q (n_369));
ON222LX1 g24845(.A (n_46), .B (n_201), .C (n_1608), .D (n_139), .E
(n_1609), .F (n_204), .Q (n_368));
AO22LX1 g24846(.A (n_283), .B (\temp_addr[4] ), .C (n_1618), .D
(n_203), .Q (n_367));
ON33LX1 g24847(.A (\ir[4] ), .B (n_1864), .C (n_291), .D (n_105), .E
(n_96), .F (n_222), .Q (n_366));
ON211LX1 g24848(.A (n_181), .B (n_93), .C (n_268), .D (n_172), .Q
(n_365));
ON22LX1 g24849(.A (n_148), .B (n_208), .C (n_1594), .D (n_285), .Q
(n_364));
EO2LX1 g24850(.A (\ir[5] ), .B (n_244), .Q (n_363));
NA2LX1 g24851(.A (n_284), .B (data_in[5]), .Q (n_362));
NA2LX1 g24852(.A (n_283), .B (\temp_addr[7] ), .Q (n_361));
NA2LX1 g24853(.A (n_294), .B (n_72), .Q (n_360));
AND2LX1 g24854(.A (n_250), .B (data_in[0]), .Q (n_359));
NO2LX1 g24855(.A (n_276), .B (n_1796), .Q (n_358));
NO2LX1 g24856(.A (n_285), .B (n_1622), .Q (n_357));
NO2X1 g24857(.A (n_293), .B (n_154), .Q (n_356));
NA2LX1 g24858(.A (n_284), .B (data_in[7]), .Q (n_355));
NO2LX1 g24859(.A (\ir[0] ), .B (n_293), .Q (n_354));
NA2LX1 g24860(.A (n_238), .B (n_138), .Q (n_353));
NO2I1LX1 g24861(.B (n_276), .AN (data_in[0]), .Q (n_352));
NA2LX1 g24862(.A (n_283), .B (\temp_addr[5] ), .Q (n_351));
AO31LX1 g24863(.A (n_95), .B (n_78), .C (n_209), .D (n_221), .Q
(n_350));
NO5I4LX1 g24864(.E (n_1527), .AN (n_1660), .BN (n_87), .CN (n_54),
.DN (n_633), .Q (n_349));
AN31LX1 g24865(.A (n_13), .B (n_102), .C (alu_status[6]), .D (n_241),
.Q (n_348));
ON31LX1 g24866(.A (n_1635), .B (n_1637), .C (n_1636), .D (n_284), .Q
(n_347));
ON221LX1 g24867(.A (n_1634), .B (n_151), .C (n_1799), .D (n_142), .E
(n_228), .Q (n_346));
AN211LX1 g24868(.A (n_1660), .B (n_0), .C (n_196), .D (n_257), .Q
(n_345));
ON21LX1 g24869(.A (n_1876), .B (n_1882), .C (n_279), .Q (n_344));
NA4I1LX1 g24870(.B (n_89), .C (n_208), .D (n_33), .AN (n_1534), .Q
(n_343));
ON21LX1 g24871(.A (n_1782), .B (n_1822), .C (n_279), .Q (n_342));
ON31LX1 g24872(.A (n_1698), .B (n_1697), .C (n_1696), .D (n_284), .Q
(n_341));
ON31LX1 g24873(.A (n_1821), .B (n_1676), .C (n_1675), .D (n_284), .Q
(n_340));
ON31LX1 g24874(.A (n_1655), .B (n_1657), .C (n_1656), .D (n_284), .Q
(n_339));
ON32LX1 g24875(.A (n_633), .B (n_1634), .C (n_151), .D (n_195), .E
(n_21), .Q (n_338));
AN33LX1 g24876(.A (n_55), .B (n_114), .C (n_22), .D (\ir[3] ), .E
(n_81), .F (\ir[0] ), .Q (n_337));
NA4LX1 g24877(.A (\temp_addr[8] ), .B (n_194), .C (n_132), .D (n_64),
.Q (n_336));
AN31LX1 g24878(.A (n_149), .B (n_1679), .C (n_1650), .D (n_252), .Q
(n_335));
AN21LX1 g24879(.A (n_231), .B (n_207), .C (n_1660), .Q (n_334));
AN21LX1 g24880(.A (n_216), .B (n_150), .C (n_66), .Q (n_333));
ON21LX1 g24881(.A (n_1796), .B (n_197), .C (n_1833), .Q (n_332));
NA4LX1 g24882(.A (n_288), .B (n_57), .C (n_1605), .D (n_28), .Q
(n_331));
AND3LX1 g24883(.A (n_298), .B (n_148), .C (n_118), .Q (n_330));
AN321LX1 g24884(.A (n_55), .B (n_78), .C (n_24), .D (n_1828), .E
(n_163), .F (n_191), .Q (n_329));
AN21LX1 g24885(.A (n_136), .B (n_1847), .C (n_285), .Q (n_328));
NO3I2LX1 g24886(.C (n_215), .AN (n_183), .BN (n_150), .Q (n_327));
ON21LX1 g24887(.A (n_189), .B (n_1797), .C (n_89), .Q (n_326));
NA6I4LX1 g24888(.E (n_1533), .F (n_1534), .AN (n_1617), .BN (n_1616),
.CN (n_581), .DN (n_33), .Q (n_325));
NA3LX1 g24889(.A (n_285), .B (n_222), .C (n_214), .Q (n_324));
NO3I1LX1 g24890(.B (n_242), .C (n_1544), .AN (n_581), .Q (n_323));
OA21LX1 g24891(.A (n_234), .B (n_32), .C (n_233), .Q (n_322));
AN322LX1 g24892(.A (\ir[4] ), .B (n_163), .C (n_781), .D (n_57), .E
(n_80), .F (n_1600), .G (n_143), .Q (n_321));
OA21LX1 g24893(.A (n_160), .B (n_236), .C (n_233), .Q (n_320));
NO3I1LX1 g24894(.B (n_111), .C (n_1861), .AN (n_225), .Q (n_319));
NA3LX1 g24895(.A (n_278), .B (n_1898), .C (n_631), .Q (n_318));
AND3X1 g24896(.A (n_104), .B (n_632), .C (n_294), .Q (n_317));
NO3I2LX1 g24897(.C (page_crossed_1940), .AN (\pc[8] ), .BN (n_225),
.Q (n_316));
NO4I1LX1 g24898(.B (n_141), .C (n_137), .D (n_178), .AN (n_50), .Q
(n_315));
OA32LX1 g24899(.A (n_96), .B (n_1601), .C (n_142), .D (n_5), .E
(n_228), .Q (n_314));
AN22LX1 g24900(.A (n_41), .B (n_202), .C (n_1586), .D (n_77), .Q
(n_313));
OA322LX1 g24901(.A (n_105), .B (n_1734), .C (n_781), .D (n_71), .E
(n_154), .F (\ir[0] ), .G (n_43), .Q (n_312));
AO222LX1 g24902(.A (\ir[0] ), .B (n_121), .C (n_1627), .D (n_21), .E
(n_105), .F (\ir[4] ), .Q (n_311));
AO222LX1 g24903(.A (n_77), .B (n_1588), .C (n_138), .D (n_1689), .E
(n_1682), .F (n_89), .Q (n_310));
ON22LX1 g24904(.A (n_1621), .B (n_236), .C (n_211), .D (n_32), .Q
(n_309));
ON22LX1 g24905(.A (n_1860), .B (n_216), .C (n_211), .D (n_7), .Q
(n_308));
AO22LX1 g24906(.A (n_1633), .B (n_202), .C (n_1640), .D (n_138), .Q
(n_307));
AN22LX1 g24907(.A (n_1888), .B (n_224), .C (n_1891), .D (n_209), .Q
(n_306));
AN22LX1 g24908(.A (n_1616), .B (n_213), .C (n_218), .D (n_1796), .Q
(n_305));
AN22LX1 g24909(.A (n_1875), .B (n_224), .C (n_1879), .D (n_209), .Q
(n_304));
AN22LX1 g24910(.A (n_202), .B (n_1683), .C (n_203), .D (n_1869), .Q
(n_303));
AN22LX1 g24911(.A (n_1817), .B (n_188), .C (n_1840), .D (n_209), .Q
(n_302));
AO22LX1 g24912(.A (n_1755), .B (n_188), .C (n_1781), .D (n_224), .Q
(n_301));
MU2LX1 g24913(.S (n_33), .IN0 (n_1616), .IN1 (n_169), .Q (n_300));
EO2LX1 g24914(.A (\rst_counter[2] ), .B (n_167), .Q (n_299));
NA2I1LX1 g24916(.B (n_611), .AN (n_216), .Q (n_298));
NA2I1LX1 g24917(.B (n_145), .AN (n_210), .Q (n_297));
NA2I1LX1 g24918(.B (\ir[4] ), .AN (n_228), .Q (n_296));
OR2LX1 g24919(.A (n_1731), .B (n_201), .Q (n_295));
INLX1 g24920(.A (n_293), .Q (n_294));
NA2LX1 g24924(.A (n_221), .B (n_21), .Q (n_293));
NA2I1LX1 g24925(.B (n_221), .AN (n_228), .Q (n_292));
INLX1 g24928(.A (n_291), .Q (n_290));
NA2I1LX1 g24929(.B (n_221), .AN (n_151), .Q (n_291));
NO2I1LX1 g24930(.B (n_1569), .AN (n_229), .Q (n_289));
NO2LX1 g24931(.A (n_1800), .B (n_227), .Q (n_288));
NO2I1LX1 g24932(.B (n_181), .AN (n_581), .Q (n_287));
OR2LX1 g24933(.A (n_1732), .B (n_201), .Q (n_286));
INLX2 g24936(.A (n_285), .Q (n_284));
OR2LX1 g24946(.A (n_201), .B (n_32), .Q (n_285));
NO2I1LX1 g24947(.B (n_193), .AN (n_224), .Q (n_283));
NO2I1LX1 g24948(.B (n_1621), .AN (n_209), .Q (n_282));
INLX1 g24950(.A (n_280), .Q (n_281));
NA2LX1 g24952(.A (n_224), .B (n_28), .Q (n_280));
NO2I1LX1 g24953(.B (n_28), .AN (n_224), .Q (n_279));
INLX1 g24954(.A (n_277), .Q (n_278));
NA2LX1 g24955(.A (n_221), .B (n_22), .Q (n_277));
INLX1 g24956(.A (n_276), .Q (n_275));
NA2LX1 g24957(.A (n_203), .B (n_33), .Q (n_276));
NO2LX1 g24958(.A (n_33), .B (n_201), .Q (n_274));
NO2I1LX1 g24959(.B (n_1852), .AN (n_224), .Q (n_273));
NA2LX1 g24960(.A (n_1677), .B (n_229), .Q (n_272));
NA2LX1 g24961(.A (n_1540), .B (n_205), .Q (n_271));
AN32LX1 g24962(.A (\ir[5] ), .B (n_102), .C (n_11), .D (n_119), .E
(alu_status[7]), .Q (n_270));
AN31LX1 g24963(.A (n_1895), .B (n_7), .C (n_92), .D (n_174), .Q
(n_269));
AN31LX1 g24964(.A (n_52), .B (n_47), .C (n_1616), .D (n_218), .Q
(n_268));
NA3I1LX1 g24965(.B (\ir[4] ), .C (\ir[3] ), .AN (n_178), .Q (n_267));
AO211LX1 g24966(.A (n_105), .B (n_633), .C (n_112), .D (n_135), .Q
(n_266));
OA211LX1 g24967(.A (n_634), .B (n_13), .C (n_184), .D (n_87), .Q
(n_265));
OA31LX1 g24968(.A (n_98), .B (n_47), .C (n_132), .D (n_134), .Q
(n_264));
OA21LX1 g24969(.A (n_1884), .B (n_1694), .C (n_203), .Q (n_263));
AND5LX1 g24970(.A (n_1831), .B (n_83), .C (n_1832), .D (n_1851), .E
(n_1830), .Q (n_262));
ON31LX1 g24971(.A (n_73), .B (n_75), .C (n_21), .D (n_1600), .Q
(n_261));
AN33LX1 g24972(.A (\ir[2] ), .B (n_55), .C (n_49), .D (n_1605), .E
(n_78), .F (n_65), .Q (n_260));
OA21LX1 g24973(.A (n_628), .B (n_133), .C (n_207), .Q (n_259));
AN211LX1 g24974(.A (n_66), .B (n_98), .C (n_162), .D (n_1848), .Q
(n_258));
NO4LX1 g24975(.A (n_32), .B (n_93), .C (n_76), .D (n_66), .Q (n_257));
OA21LX1 g24976(.A (n_1798), .B (n_1673), .C (n_203), .Q (n_256));
OA21LX1 g24977(.A (n_1871), .B (n_1683), .C (n_203), .Q (n_255));
OA21LX1 g24978(.A (n_1751), .B (n_1664), .C (n_203), .Q (n_254));
NO4LX1 g24979(.A (n_43), .B (n_569), .C (n_164), .D (n_141), .Q
(n_253));
INLX1 g24980(.A (n_251), .Q (n_252));
NA3I1LX1 g24981(.B (n_127), .C (n_628), .AN (n_156), .Q (n_251));
AO21LX1 g24982(.A (n_161), .B (n_98), .C (n_224), .Q (n_250));
NO4LX1 g24983(.A (n_68), .B (\ir[2] ), .C (n_84), .D (n_199), .Q
(n_249));
AND3LX1 g24984(.A (n_1650), .B (n_153), .C (n_98), .Q (n_248));
AO21LX1 g24985(.A (n_106), .B (n_87), .C (n_236), .Q (n_247));
ON21LX1 g24986(.A (n_142), .B (n_1597), .C (n_200), .Q (n_246));
OA321LX1 g24987(.A (\ir[4] ), .B (n_1863), .C (n_151), .D (n_633), .E
(n_105), .F (n_570), .Q (n_245));
AO21LX1 g24988(.A (n_107), .B (\ir[7] ), .C (n_165), .Q (n_244));
AN32LX1 g24989(.A (\state[3] ), .B (n_64), .C (n_159), .D (n_32), .E
(n_134), .Q (n_243));
AN22LX1 g24990(.A (n_134), .B (n_33), .C (\pc[8] ), .D (n_568), .Q
(n_242));
ON311LX1 g24991(.A (\ir[7] ), .B (n_53), .C (alu_status[7]), .D
(\ir[4] ), .E (n_72), .Q (n_241));
AN22LX1 g24992(.A (n_159), .B (n_161), .C (n_134), .D (n_1), .Q
(n_240));
AO22LX1 g24993(.A (n_138), .B (n_64), .C (n_90), .D (n_97), .Q
(n_239));
ON22LX1 g24994(.A (n_142), .B (n_84), .C (n_116), .D (\ir[0] ), .Q
(n_238));
EO2LX1 g24995(.A (n_13), .B (n_107), .Q (n_237));
NA2LX1 g24996(.A (n_138), .B (n_33), .Q (n_236));
AN21LX1 g24997(.A (n_100), .B (n_28), .C (\ir[0] ), .Q (n_235));
NA2LX1 g24998(.A (n_159), .B (n_1), .Q (n_234));
NA2LX1 g24999(.A (n_134), .B (n_103), .Q (n_233));
NO2LX1 g25000(.A (n_134), .B (n_159), .Q (n_232));
INLX1 g25001(.A (n_230), .Q (n_231));
NO2I1LX1 g25002(.B (n_122), .AN (n_89), .Q (n_230));
NO2LX1 g25003(.A (n_162), .B (n_7), .Q (n_229));
OR2LX1 g25004(.A (n_151), .B (\ir[3] ), .Q (n_228));
NA2LX1 g25005(.A (n_138), .B (n_32), .Q (n_227));
OR2LX1 g25006(.A (n_153), .B (n_149), .Q (n_226));
NO2LX1 g25007(.A (n_162), .B (n_48), .Q (n_225));
NO2I1LX1 g25008(.B (n_139), .AN (n_90), .Q (n_224));
NO2LX1 g25009(.A (n_568), .B (n_162), .Q (n_223));
INLX1 g25013(.A (n_221), .Q (n_222));
NO2X1 g25022(.A (n_48), .B (n_139), .Q (n_221));
NO2I1LX1 g25023(.B (n_48), .AN (n_153), .Q (n_220));
NO2I1LX1 g25024(.B (n_570), .AN (n_131), .Q (n_219));
INLX1 g25026(.A (n_217), .Q (n_218));
NA2LX1 g25027(.A (n_1679), .B (n_132), .Q (n_217));
NA2LX1 g25028(.A (n_132), .B (n_33), .Q (n_216));
NO2I1LX1 g25029(.B (n_146), .AN (n_1616), .Q (n_215));
INLX1 g25030(.A (n_214), .Q (n_213));
NA2LX1 g25031(.A (n_0), .B (n_47), .Q (n_214));
NA2LX1 g25032(.A (n_149), .B (n_568), .Q (n_212));
NA2I1LX1 g25033(.B (n_1842), .AN (n_156), .Q (n_211));
NO2LX1 g25034(.A (n_133), .B (n_1842), .Q (n_210));
NO2LX1 g25035(.A (n_7), .B (n_139), .Q (n_209));
OR2LX1 g25036(.A (n_151), .B (n_1740), .Q (n_208));
NA2I1LX1 g25037(.B (n_7), .AN (n_157), .Q (n_207));
NO2I1LX1 g25038(.B (n_568), .AN (n_153), .Q (n_206));
NO2LX1 g25039(.A (n_33), .B (n_162), .Q (n_205));
INLX1 g25043(.A (n_203), .Q (n_204));
AND2X1 g25049(.A (n_0), .B (n_568), .Q (n_203));
INLX2 g25051(.A (n_201), .Q (n_202));
NA2X1 g25061(.A (n_0), .B (n_7), .Q (n_201));
NA2LX1 g25062(.A (n_143), .B (n_1861), .Q (n_200));
OR2LX1 g25063(.A (n_141), .B (n_22), .Q (n_199));
NA2I1LX1 g25064(.B (n_139), .AN (n_0), .Q (n_198));
INLX1 g25065(.A (n_196), .Q (n_197));
NO2LX1 g25066(.A (n_568), .B (n_133), .Q (n_196));
AN31LX1 g25067(.A (n_72), .B (n_1704), .C (n_17), .D (\ir[4] ), .Q
(n_195));
AN211LX1 g25068(.A (n_672), .B (\ir[2] ), .C (n_51), .D (n_1598), .Q
(n_194));
AO221LX1 g25069(.A (n_28), .B (n_1740), .C (\ir[2] ), .D (n_1738), .E
(n_1741), .Q (n_193));
ON31LX1 g25070(.A (\ir[0] ), .B (n_633), .C (n_1583), .D (n_137), .Q
(n_192));
AO31LX1 g25071(.A (n_1596), .B (\ir[0] ), .C (n_74), .D (n_88), .Q
(n_191));
AO21LX1 g25072(.A (n_1859), .B (n_28), .C (n_110), .Q (n_190));
AN21LX1 g25073(.A (n_66), .B (n_7), .C (n_1660), .Q (n_189));
AO21LX1 g25074(.A (n_89), .B (n_568), .C (n_161), .Q (n_188));
AO21LX1 g25075(.A (n_84), .B (n_96), .C (n_22), .Q (n_187));
ON31LX1 g25076(.A (n_569), .B (\state[3] ), .C (n_63), .D (n_146), .Q
(n_186));
AN21LX1 g25077(.A (n_1), .B (\ir[0] ), .C (n_155), .Q (n_185));
ON31LX1 g25078(.A (n_634), .B (n_633), .C (n_28), .D (n_13), .Q
(n_184));
NA4I1LX1 g25079(.B (n_1616), .C (n_568), .D (n_581), .AN (n_76), .Q
(n_183));
NO3I1LX1 g25080(.B (n_628), .C (n_569), .AN (n_131), .Q (n_182));
NA3LX1 g25081(.A (n_109), .B (n_1616), .C (n_63), .Q (n_181));
NA3LX1 g25082(.A (n_127), .B (n_628), .C (n_92), .Q (n_180));
ON221LX1 g25083(.A (n_631), .B (n_1595), .C (n_672), .D (n_21), .E
(n_151), .Q (n_179));
AN21LX1 g25084(.A (\ir[2] ), .B (n_69), .C (\pc[8] ), .Q (n_178));
ON21LX1 g25085(.A (\pc[8] ), .B (n_69), .C (n_140), .Q (n_177));
ON21LX1 g25086(.A (n_21), .B (n_75), .C (n_79), .Q (n_176));
INLX1 g25087(.A (n_174), .Q (n_175));
NO3I1LX1 g25088(.B (n_160), .C (n_93), .AN (n_98), .Q (n_174));
INLX1 g25089(.A (n_172), .Q (n_173));
NA3LX1 g25090(.A (n_109), .B (n_92), .C (n_90), .Q (n_172));
AN21LX1 g25091(.A (n_67), .B (n_89), .C (n_149), .Q (n_171));
AO22LX1 g25092(.A (n_621), .B (n_98), .C (n_1616), .D (n_7), .Q
(n_170));
AO22LX1 g25093(.A (n_57), .B (n_44), .C (n_1795), .D (n_631), .Q
(n_169));
HAALX1 g25094(.A (\rst_counter[0] ), .B (\rst_counter[1] ), .S
(n_168), .CO (n_167));
AO32LX1 g25095(.A (n_569), .B (n_581), .C (n_98), .D (n_47), .E
(n_89), .Q (n_166));
ON32LX1 g25096(.A (\ir[7] ), .B (\ir[6] ), .C (alu_status[7]), .D
(n_101), .E (alu_status[6]), .Q (n_165));
INLX1 g25097(.A (n_164), .Q (n_163));
NA2LX1 g25099(.A (n_22), .B (n_58), .Q (n_164));
INLX1 g25104(.A (n_162), .Q (n_161));
NA2LX1 g25106(.A (n_1), .B (\state[3] ), .Q (n_162));
INLX1 g25110(.A (n_160), .Q (n_159));
OR2LX1 g25114(.A (\pc[8] ), .B (n_86), .Q (n_160));
NA2LX1 g25115(.A (n_88), .B (n_1), .Q (n_158));
NA2LX1 g25116(.A (n_89), .B (n_570), .Q (n_157));
INLX1 g25117(.A (n_156), .Q (n_155));
NA2LX1 g25118(.A (n_92), .B (n_569), .Q (n_156));
NA2LX1 g25119(.A (n_104), .B (\ir[4] ), .Q (n_154));
NO2I1LX1 g25120(.B (n_570), .AN (n_89), .Q (n_153));
NA2I1LX1 g25121(.B (n_64), .AN (data_in[0]), .Q (n_152));
NA2LX1 g25122(.A (n_22), .B (n_104), .Q (n_151));
NA2LX1 g25123(.A (n_98), .B (n_1), .Q (n_150));
NO2LX1 g25124(.A (n_569), .B (n_93), .Q (n_149));
INLX1 g25125(.A (n_148), .Q (n_147));
NA2LX1 g25126(.A (n_1660), .B (n_89), .Q (n_148));
NA2LX1 g25127(.A (n_568), .B (n_97), .Q (n_146));
NA2LX1 g25128(.A (n_1), .B (n_7), .Q (n_145));
AND2X1 g25129(.A (n_52), .B (\state[3] ), .Q (n_0));
AND2LX1 g25130(.A (n_59), .B (n_631), .Q (n_144));
NO2LX1 g25131(.A (\ir[0] ), .B (n_59), .Q (n_143));
NA2LX1 g25132(.A (n_21), .B (n_104), .Q (n_142));
INLX1 g25133(.A (n_141), .Q (n_140));
NA2I1LX1 g25134(.B (n_47), .AN (\state[3] ), .Q (n_141));
INLX2 g25138(.A (n_139), .Q (n_138));
NA2I1X1 g25148(.B (n_1), .AN (\state[3] ), .Q (n_139));
INLX1 g25149(.A (n_137), .Q (n_136));
NA2LX1 g25150(.A (n_55), .B (\ir[3] ), .Q (n_137));
INLX1 g25154(.A (n_135), .Q (n_134));
NA2LX1 g25158(.A (\pc[8] ), .B (n_86), .Q (n_135));
INLX1 g25162(.A (n_133), .Q (n_132));
NA2I1LX1 g25164(.B (n_52), .AN (\state[3] ), .Q (n_133));
AO21LX1 g25165(.A (n_619), .B (n_581), .C (n_89), .Q (n_131));
AO21LX1 g25166(.A (n_634), .B (\ir[7] ), .C (n_632), .Q (n_130));
ON21LX1 g25167(.A (n_1874), .B (n_32), .C (n_91), .Q (n_129));
NA3I1LX1 g25168(.B (n_1833), .C (n_1855), .AN (n_1735), .Q (n_128));
NA3I1LX1 g25169(.B (\rst_counter[1] ), .C (\rst_counter[2] ), .AN
(\rst_counter[0] ), .Q (n_127));
AN21LX1 g25170(.A (n_1845), .B (\ir[0] ), .C (n_88), .Q (n_126));
AO21LX1 g25171(.A (n_1791), .B (n_28), .C (n_1579), .Q (n_125));
NA3LX1 g25172(.A (n_22), .B (n_1601), .C (n_631), .Q (n_124));
AO21LX1 g25173(.A (n_1838), .B (n_1836), .C (n_1853), .Q (n_123));
AN21LX1 g25174(.A (n_1616), .B (n_33), .C (n_47), .Q (n_122));
ON21LX1 g25175(.A (n_1600), .B (\ir[2] ), .C (n_73), .Q (n_121));
OR3LX1 g25176(.A (n_1646), .B (n_1647), .C (n_1645), .Q (n_120));
NO3LX1 g25177(.A (\ir[6] ), .B (\ir[5] ), .C (\ir[7] ), .Q (n_119));
NA3I1LX1 g25178(.B (n_98), .C (\state[3] ), .AN (n_581), .Q (n_118));
OR3LX1 g25179(.A (n_1705), .B (n_1706), .C (n_1707), .Q (n_117));
NA3I1LX1 g25180(.B (n_22), .C (n_32), .AN (n_1898), .Q (n_116));
OR3LX1 g25181(.A (n_1685), .B (n_1687), .C (n_1686), .Q (n_115));
NO3LX1 g25182(.A (n_54), .B (\ir[3] ), .C (\ir[7] ), .Q (n_114));
OR3LX1 g25183(.A (n_1666), .B (n_1667), .C (n_1665), .Q (n_113));
NA3I1LX1 g25184(.B (n_570), .C (n_32), .AN (\state[3] ), .Q (n_112));
AN22LX1 g25185(.A (n_1572), .B (n_13), .C (n_1563), .D (n_37), .Q
(n_111));
AN211LX1 g25186(.A (n_1858), .B (\ir[4] ), .C (n_1857), .D (n_28), .Q
(n_110));
MU2LX1 g25187(.S (n_569), .IN0 (n_611), .IN1 (n_1842), .Q (n_109));
MU2LX1 g25188(.S (index_is_x), .IN0 (alu_y[6]), .IN1 (alu_x[6]), .Q
(n_108));
MU2ILX1 g25189(.S (n_634), .IN0 (alu_status[1]), .IN1
(alu_status[0]), .Q (n_107));
EO2LX1 g25190(.A (n_634), .B (\ir[2] ), .Q (n_106));
INLX1 g25196(.A (n_105), .Q (n_104));
NA2X1 g25198(.A (n_28), .B (n_631), .Q (n_105));
NO2LX1 g25199(.A (\state[3] ), .B (n_581), .Q (n_103));
INLX1 g25201(.A (n_101), .Q (n_102));
NA2I1LX1 g25202(.B (\ir[6] ), .AN (\ir[7] ), .Q (n_101));
NA2LX1 g25203(.A (n_781), .B (n_632), .Q (n_100));
NA2I1LX1 g25204(.B (n_1878), .AN (n_1877), .Q (n_99));
NO2I1LX1 g25205(.B (n_32), .AN (n_7), .Q (n_98));
NO2LX1 g25206(.A (n_570), .B (\state[3] ), .Q (n_97));
NA2LX1 g25207(.A (n_632), .B (n_633), .Q (n_96));
NO2I1LX1 g25208(.B (\ir[7] ), .AN (n_1847), .Q (n_95));
OR2LX1 g25209(.A (n_1885), .B (n_1890), .Q (n_94));
INLX1 g25213(.A (n_93), .Q (n_92));
NA2LX1 g25217(.A (n_581), .B (\state[3] ), .Q (n_93));
INLX1 g25221(.A (n_91), .Q (n_90));
NA2LX1 g25222(.A (n_568), .B (n_33), .Q (n_91));
NO2I1X1 g25223(.B (\state[3] ), .AN (n_581), .Q (n_89));
NO2LX1 g25224(.A (n_631), .B (n_22), .Q (n_88));
NO2LX1 g25225(.A (\ir[7] ), .B (\ir[4] ), .Q (n_87));
NA2I1LX1 g25226(.B (n_1819), .AN (n_1818), .Q (n_86));
NA2LX1 g25227(.A (n_1583), .B (\ir[4] ), .Q (n_85));
NA2LX1 g25228(.A (n_1897), .B (\ir[4] ), .Q (n_84));
OR2LX1 g25229(.A (n_1835), .B (n_1853), .Q (n_83));
AND2LX1 g25230(.A (n_1600), .B (n_633), .Q (n_82));
INLX1 g25231(.A (n_80), .Q (n_81));
OR2LX1 g25232(.A (n_1600), .B (n_1599), .Q (n_80));
INLX1 g25235(.A (n_79), .Q (n_78));
NA2LX1 g25236(.A (n_22), .B (\ir[2] ), .Q (n_79));
NO2I1LX1 g25237(.B (n_569), .AN (\state[3] ), .Q (n_77));
NO2LX1 g25238(.A (n_570), .B (n_1842), .Q (n_76));
AND2LX1 g25239(.A (n_1593), .B (n_632), .Q (n_75));
NA2LX1 g25240(.A (n_1854), .B (\ir[2] ), .Q (n_74));
INLX1 g25244(.A (n_73), .Q (n_72));
NA2LX1 g25245(.A (n_28), .B (n_633), .Q (n_73));
NO2LX1 g25246(.A (\ir[6] ), .B (n_633), .Q (n_71));
NO2LX1 g25247(.A (\ir[4] ), .B (n_1734), .Q (n_70));
INLX1 g25249(.A (n_68), .Q (n_69));
NA2LX1 g25250(.A (n_570), .B (n_572), .Q (n_68));
INLX1 g25252(.A (n_66), .Q (n_67));
NO2LX1 g25254(.A (n_621), .B (n_1616), .Q (n_66));
NO2LX1 g25255(.A (\ir[4] ), .B (n_631), .Q (n_65));
INLX1 g25256(.A (n_63), .Q (n_64));
NA2LX1 g25260(.A (n_7), .B (n_32), .Q (n_63));
NO2I1LX1 g25261(.B (n_1783), .AN (n_1784), .Q (n_62));
NA2LX1 g25262(.A (page_crossed_1940), .B (n_1829), .Q (n_61));
NO2X1 g25263(.A (n_569), .B (n_581), .Q (n_1));
NA2LX1 g25264(.A (n_21), .B (\ir[2] ), .Q (n_59));
NO2LX1 g25265(.A (n_28), .B (\ir[0] ), .Q (n_58));
NO2I1LX1 g25266(.B (n_631), .AN (n_22), .Q (n_57));
NO2LX1 g25267(.A (n_1596), .B (n_1861), .Q (n_56));
NO2LX1 g25268(.A (\ir[0] ), .B (\ir[4] ), .Q (n_55));
INLX1 g25269(.A (n_53), .Q (n_54));
NA2LX1 g25271(.A (n_634), .B (\ir[5] ), .Q (n_53));
NO2LX1 g25272(.A (n_570), .B (n_581), .Q (n_52));
NO2I1LX1 g25273(.B (\ir[2] ), .AN (n_1597), .Q (n_51));
INLX1 g25275(.A (n_49), .Q (n_50));
NA2I1LX1 g25276(.B (n_22), .AN (n_1620), .Q (n_49));
INLX1 g25281(.A (n_48), .Q (n_47));
NA2X1 g25283(.A (n_568), .B (n_32), .Q (n_48));
INLX1 g25284(.A (n_1664), .Q (n_46));
INLX1 g25285(.A (n_1816), .Q (n_45));
INLX1 g25286(.A (n_1845), .Q (n_44));
INLX1 g25287(.A (n_1825), .Q (n_43));
INLX1 g25300(.A (n_1780), .Q (n_41));
INLX1 g25311(.A (n_1674), .Q (n_38));
INLX1 g25312(.A (page_crossed_1940), .Q (n_37));
INLX1 g25323(.A (\rst_counter[0] ), .Q (n_35));
INLX3 g25341(.A (n_33), .Q (n_32));
BULX2 g25352(.A (\state[0] ), .Q (n_33));
INLX1 g25353(.A (n_1746), .Q (n_31));
INLX1 g25356(.A (n_1695), .Q (n_29));
INLX3 g25360(.A (\ir[2] ), .Q (n_28));
INLX1 g25370(.A (n_1703), .Q (n_27));
INLX1 g25373(.A (n_1862), .Q (n_24));
INLX2 g25401(.A (n_21), .Q (n_22));
INLX1 g25410(.A (\sp[0] ), .Q (n_20));
INLX1 g25411(.A (n_1673), .Q (n_19));
INLX1 g25412(.A (n_1870), .Q (n_18));
INLX1 g25413(.A (n_1639), .Q (n_17));
INLX1 g25430(.A (\ir[5] ), .Q (n_13));
INLX1 g25433(.A (alu_status[6]), .Q (n_11));
INLX1 g25434(.A (n_1694), .Q (n_10));
INLX3 g25486(.A (n_568), .Q (n_7));
INLX1 g25492(.A (n_1863), .Q (n_5));
INX2 g88630(.A (n_570), .Q (n_569));
INX2 g88632(.A (\state[2] ), .Q (n_570));
endmodule
 
module t6507lp(clk, reset_n, data_in, rw_mem, data_out, address);
input clk, reset_n;
input [7:0] data_in;
output rw_mem;
output [7:0] data_out;
output [12:0] address;
wire clk, reset_n;
wire [7:0] data_in;
wire rw_mem;
wire [7:0] data_out;
wire [12:0] address;
wire \alu_a[0] , \alu_a[1] , \alu_a[2] , \alu_a[3] , \alu_a[4] ,
\alu_a[5] , \alu_a[6] , \alu_a[7] ;
wire alu_enable, \alu_opcode[0] , \alu_opcode[1] , \alu_opcode[2] ,
\alu_opcode[3] , \alu_opcode[4] , \alu_opcode[5] ,
\alu_opcode[6] ;
wire \alu_opcode[7] , \alu_result[0] , \alu_result[1] ,
\alu_result[2] , \alu_result[3] , \alu_result[4] ,
\alu_result[5] , \alu_result[6] ;
wire \alu_result[7] , \alu_status[0] , \alu_status[1] ,
\alu_status[2] , \alu_status[3] , \alu_status[4] ,
\alu_status[5] , \alu_status[6] ;
wire \alu_status[7] , \alu_x[0] , \alu_x[1] , \alu_x[2] , \alu_x[3] ,
\alu_x[4] , \alu_x[5] , \alu_x[6] ;
wire \alu_x[7] , \alu_y[0] , \alu_y[1] , \alu_y[2] , \alu_y[3] ,
\alu_y[4] , \alu_y[5] , \alu_y[6] ;
wire \alu_y[7] ;
t6507lp_alu t6507lp_alu(.clk (clk), .reset_n (reset_n), .alu_enable
(alu_enable), .alu_result ({\alu_result[7] , \alu_result[6] ,
\alu_result[5] , \alu_result[4] , \alu_result[3] ,
\alu_result[2] , \alu_result[1] , \alu_result[0] }), .alu_status
({\alu_status[7] , \alu_status[6] , \alu_status[5] ,
\alu_status[4] , \alu_status[3] , \alu_status[2] ,
\alu_status[1] , \alu_status[0] }), .alu_opcode ({\alu_opcode[7]
, \alu_opcode[6] , \alu_opcode[5] , \alu_opcode[4] ,
\alu_opcode[3] , \alu_opcode[2] , \alu_opcode[1] ,
\alu_opcode[0] }), .alu_a ({\alu_a[7] , \alu_a[6] , \alu_a[5] ,
\alu_a[4] , \alu_a[3] , \alu_a[2] , \alu_a[1] , \alu_a[0] }),
.alu_x ({\alu_x[7] , \alu_x[6] , \alu_x[5] , \alu_x[4] ,
\alu_x[3] , \alu_x[2] , \alu_x[1] , \alu_x[0] }), .alu_y
({\alu_y[7] , \alu_y[6] , \alu_y[5] , \alu_y[4] , \alu_y[3] ,
\alu_y[2] , \alu_y[1] , \alu_y[0] }));
t6507lp_fsm_DATA_SIZE8_ADDR_SIZE13 t6507lp_fsm(.clk (clk), .reset_n
(reset_n), .alu_result ({\alu_result[7] , \alu_result[6] ,
\alu_result[5] , \alu_result[4] , \alu_result[3] ,
\alu_result[2] , \alu_result[1] , \alu_result[0] }), .alu_status
({\alu_status[7] , \alu_status[6] , \alu_status[5] ,
\alu_status[4] , \alu_status[3] , \alu_status[2] ,
\alu_status[1] , \alu_status[0] }), .data_in (data_in), .alu_x
({\alu_x[7] , \alu_x[6] , \alu_x[5] , \alu_x[4] , \alu_x[3] ,
\alu_x[2] , \alu_x[1] , \alu_x[0] }), .alu_y ({\alu_y[7] ,
\alu_y[6] , \alu_y[5] , \alu_y[4] , \alu_y[3] , \alu_y[2] ,
\alu_y[1] , \alu_y[0] }), .address (address), .mem_rw (rw_mem),
.data_out (data_out), .alu_opcode ({\alu_opcode[7] ,
\alu_opcode[6] , \alu_opcode[5] , \alu_opcode[4] ,
\alu_opcode[3] , \alu_opcode[2] , \alu_opcode[1] ,
\alu_opcode[0] }), .alu_a ({\alu_a[7] , \alu_a[6] , \alu_a[5] ,
\alu_a[4] , \alu_a[3] , \alu_a[2] , \alu_a[1] , \alu_a[0] }),
.alu_enable (alu_enable));
endmodule
 
/t6507lp/trunk/syn/cadence/scripts/rc_script.cmd
1,21 → 1,28
# script written by Samuel N. Pagliarini
# Cadence Encounter(R) RTL Compiler
# version v07.20-s009_1 (32-bit) built Feb 7 2008
#
# Run with the following arguments:
# -logfile rc.log
# -cmdfile rc_script.cmd
read_hdl t6507lp.v t6507lp_alu.v t6507lp_fsm.v -v2001
set_attr lib_search_path /home/nscad/samuel/Desktop/libs/xc06/
 
set SVNPATH /home/nscad/samuel/Desktop/svn_atari/trunk/
set FILE_LIST {t6507lp.v t6507lp_alu.v t6507lp_fsm.v}
 
set_attribute hdl_search_path $SVNPATH/rtl/verilog/
set_attr lib_search_path $SVNPATH/syn/cadence/libs/
read_hdl $FILE_LIST -v2001
set_attr library D_CELLS_3_3V.lib
# use other libs later
elaborate
check_design -unresolved
define_clock -period 1000000 -name 1MHz [find [ find / -design t6507lp] -port clk]
#set_attribute lp_insert_operand_isolation true
#set_attr lp_insert_clock_gating true
#clock gating enable, check e-mail
#synthesize -effort high
synthesize -to_generic
synthesize -to_mapped
synthesize -to_placed
#synthesize -to_placed
#synthesize sync_fifo -to_mapped -effort high -incremental
 
write_hdl t6507lp > t6507lp.vg
write_hdl t6507lp > ../results/t6507.vg
 
exit
#reports
#report area
 
/t6507lp/trunk/syn/cadence/libs/README.TXT
0,0 → 1,2
KEEP THE LIBS IN THIS DIR BUT DO NOT COMMIT IT!
THIS IS XFAB COPYRIGHTED MATERIAL!
/t6507lp/trunk/fv/fsm_input.e
1,39 → 1,9
<'
import fsm_components.e;
type fsm_input_t :
[ RESET, INSTRUCTIONS ];
type fsm_input_t : [ RESET, INSTRUCTIONS ];
'>
BRK, RTI, RTS, PHA_PHP, PLA_PLP, JSR,
ACCUMULATOR_OR_IMPLIED, IMMEDIATE, JMP,
ABSOLUTE_READ_INSTRUCTIONS,
ABSOLUTE_READ_MODIFY_WRITE,
ABSOLUTE_WRITE_INSTRUCTIONS,
ZERO_PAGE_READ_INSTRUCTIONS,
ZERO_PAGE_READ_MODIFY_WRITE,
ZERO_PAGE_WRITE_INSTRUCTIONS,
ZERO_PAGE_INDEXED_READ_INSTRUCTIONS,
ZERO_PAGE_INDEXED_READ_MODIFY_WRITE,
ZERO_PAGE_INDEXED_WRITE_INSTRUCTIONS,
ABSOLUTE_INDEXED_READ_INSTRUCTIONS,
ABSOLUTE_INDEXED_READ_MODIFY_WRITE,
ABSOLUTE_INDEXED_WRITE_INSTRUCTIONS,
REL,
INDEXED_INDIRECT_READ_INSTRUCTIONS,
INDEXED_INDIRECT_READ_MODIFY_WRITE,
INDEXED_INDIRECT_WRITE_INSTRUCTIONS,
INDIRECT_INDEXED_READ_INSTRUCTIONS,
INDIRECT_INDEXED_READ_MODIFY_WRITE,
INDIRECT_INDEXED_WRITE_INSTRUCTIONS,
ABSOLUTE_INDIRECT,
ALU
<'
--type fsm_test_type: [REGULAR, RAND];
 
struct fsm_input_s {
input_kind : fsm_input_t;
--n_cycles : int;
-- test_kind : fsm_test_type;
reset_n : bit;
alu_result : byte;
alu_status : byte;
41,158 → 11,6
alu_x : byte;
alu_y : byte;
 
// when RESET'input_kind fsm_input_s {
// keep reset_n == 0;
// --keep n_cycles == 7;
// };
// when BRK'input_kind fsm_input_s {
// keep reset_n == 1;
// keep n_cycles == 7;
// keep data_in == 8'h;
// };
 
// when RTI'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when RTS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when PHA_PHP'input_kind fsm_input_s {
// keep reset_n == 1;
// };
// when PLA_PLP'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when JSR'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ACCUMULATOR_OR_IMPLIED'input_kind fsm_input_s {
// keep reset_n == 1;
// keep data_in ==
// };
 
// when IMMEDIATE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when JMP'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_READ_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_READ_MODIFY_WRITE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_WRITE_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ZERO_PAGE_READ_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ZERO_PAGE_READ_MODIFY_WRITE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ZERO_PAGE_WRITE_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ZERO_PAGE_INDEXED_READ_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ZERO_PAGE_INDEXED_READ_MODIFY_WRITE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ZERO_PAGE_INDEXED_WRITE_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_INDEXED_READ_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_INDEXED_READ_MODIFY_WRITE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_INDEXED_WRITE_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when REL'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when INDEXED_INDIRECT_READ_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when INDEXED_INDIRECT_READ_MODIFY_WRITE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when INDEXED_INDIRECT_WRITE_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when INDIRECT_INDEXED_READ_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when INDIRECT_INDEXED_READ_MODIFY_WRITE'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when INDIRECT_INDEXED_WRITE_INSTRUCTIONS'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when ABSOLUTE_INDIRECT'input_kind fsm_input_s {
// keep reset_n == 1;
// };
// when ALU'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
// when 'input_kind fsm_input_s {
// keep reset_n == 1;
// };
 
-- when REGULAR'test_kind alu_input_s {
-- keep soft input_kind == select {
-- 45: ENABLED_VALID;
-- 45: DISABLED_VALID;
-- 10: RESET;
-- };
-- };
 
-- when ENABLED_VALID'input_kind alu_input_s {
-- keep reset_n == TRUE; // remember this is active low
-- keep alu_enable == TRUE;
-- keep alu_a in [0..255];
-- };
 
-- when DISABLED_VALID'input_kind alu_input_s {
-- keep reset_n == TRUE; // remember this is active low
-- keep alu_enable == FALSE;
-- keep alu_a in [0..255];
-- };
 
keep soft input_kind == select {
99: INSTRUCTIONS;
1 : RESET;
215,37 → 33,4
-- };
};
 
--extend fsm_input_s {
-- rand_op : byte;
--
-- when RAND'test_kind alu_input_s {
-- keep soft input_kind == select {
-- 45: ENABLED_RAND;
-- 45: DISABLED_RAND;
-- 10: RESET;
-- };
-- };
--
-- when ENABLED_RAND'input_kind alu_input_s {
-- keep reset_n == TRUE; // remember this is active low
-- keep alu_enable == TRUE;
-- keep alu_a in [0..255];
-- keep rand_op in [0..255];
-- };
--
-- when DISABLED_RAND'input_kind alu_input_s {
-- keep reset_n == TRUE; // remember this is active low
-- keep alu_enable == FALSE;
-- keep alu_a in [0..255];
-- keep rand_op in [0..255];
-- };
--
-- event T2_cover_event;
-- cover T2_cover_event is {
-- item alu_enable using no_collect=TRUE;
-- item rand_op using num_of_buckets=256, radix=HEX, no_collect=TRUE;
-- cross alu_enable, rand_op;
-- };
--};
 
'>
/t6507lp/trunk/fv/fsm_bfm.e
27,8 → 27,6
var last_Y : byte;
gen data;
 
--print mem[i];
--keep data.data_in == mem[i].as_a(byte);
while (reset_needed) {
gen data;
37,29 → 35,11
};
};
 
-- if (data.test_kind == REGULAR) {
-- emit data.T1_cover_event;
-- alu_opcode$ = data.alu_opcode.as_a(byte);
-- }
-- else {
-- emit data.T2_cover_event;
-- alu_opcode$ = data.rand_op;
-- };
 
reset_n$ = data.reset_n;
alu_result$ = data.alu_result;
alu_status$ = data.alu_status;
--data_in$ = data.data_in;
data_in$ = mem[i].as_a(byte);
data.data_in = mem[i].as_a(byte);
--data_in$ = 8'hF8;
--print me.agent.chk.old_state;
--if (me.agent.chk.old_state == CYCLE_1) {
-- last_X = data.alu_x;
-- last_Y = data.alu_y;
--};
--alu_x$ = last_X;
--alu_y$ = last_Y;
alu_x$ = data.alu_x;
alu_y$ = data.alu_y;
/t6507lp/trunk/fv/fsm_chk.e
10,8 → 10,6
keep soft Y == 0;
!PC : uint(bits : 13);
keep soft PC == 0;
// !SP : uint(bits : 9);
// keep soft SP == 9'b100000000;
!SP : byte;
keep soft SP == 0;
!pointer : byte;
21,49 → 19,15
 
!more_cycles : bool;
keep soft more_cycles == FALSE;
--!R : byte;
-- keep soft R == 0;
--!PS : byte;
-- keep soft PS[0:0] == 0;
-- keep soft PS[1:1] == 0;
-- keep soft PS[2:2] == 0;
-- keep soft PS[3:3] == 0;
-- keep soft PS[4:4] == 0;
-- keep soft PS[5:5] == 1;
-- keep soft PS[6:6] == 0;
-- keep soft PS[7:7] == 0;
-- N : bit;
-- V : bit;
-- B : bit;
-- D : bit;
-- I : bit;
-- Z : bit;
-- C : bit;
-- keep soft N == 0;
-- keep soft V == 0;
-- keep soft B == 0;
-- keep soft D == 0;
-- keep soft I == 0;
-- keep soft Z == 0;
-- keep soft C == 0;
 
!new_inst : bool;
keep new_inst == FALSE;
!new_input : fsm_input_s;
!old_input : fsm_input_s;
--!new_inst : bool;
--keep soft new_inst == FALSE;
 
--!i : ps_index;
 
!new_state : state_t;
!old_state : state_t;
--count_cycles : int;
--first_cycle : bool;
--last_a : byte;
--last_status : byte;
--last_result : byte;
!PCL:byte;
!PCH:byte;
!SP_aux:byte;
70,8 → 34,6
!rst : bit;
!rst_counter : byte;
 
--keep first_cycle == TRUE;
--keep count_cycles == 0;
keep rst_counter == 0;
!instruction : valid_opcodes;
122,12 → 84,6
};
 
store(input : fsm_input_s) is {
--reset_n : bit;
--alu_result : byte;
--alu_status : byte;
--data_in : byte;
--alu_x : byte;
--alu_y : byte;
//print me;
//print input;
if (input.reset_n == 0) {
1394,7 → 1350,9
if (alu_enable != 0) {
dut_error("JSR_ABS is Wrong!");
};
if (data_out[4:0] != PC[12:8]) {
if (data_out[4:0] != PC[12:8] && data_out[7:5] != 0) {
//if (data_out[4:0] != PCH[4:0]) {
print data_out[4:0], PCH[4:0];
dut_error("JSR_ABS is Wrong!");
};
if (addr != SP + 256) {
1985,18 → 1943,31
if (mem_rw != 0) {
dut_error("MEM_RW should be 0 (WRITE)");
};
if (pointer + Y > 255) {
if (addr[7:0] != pointer + Y - 256) {
if (PCL + Y > 255) {
if (addr[7:0] != PCL + Y - 256) {
dut_error("ADDR is wrong");
};
//out("EH MAIOR Q 255\n");
//if (addr[12:8] != PCH[4:0] + 1) {
// dut_error("ADDR is wrong");
//};
}
else {
if (addr[7:0] != pointer + Y) {
//if (addr[7:0] != PCL + Y) {
// dut_error("ADDR is wrong");
//};
//out("EH MENOR Q 255\n");
if (addr[7:0] != PCL + Y) {
dut_error("ADDR is wrong");
};
};
if (addr[12:8] != PCH[4:0]) {
//print addr[12:8], PCH[4:0];
//print 't6507lp_fsm.pc[12:8]';
//print 't6507lp_fsm.address[12:8]';
dut_error("ADDR is wrong");
};
};
 
(
instructions == STA_ABX
) : {
2010,7 → 1981,7
if (addr[7:0] != PCL + X - 256) {
dut_error("ADDR should be equal SP!");
};
if (addr[12:8] != PCH + 1) {
if (addr[12:8] != PCH[4:0] + 1) {
dut_error("ADDR should be equal SP!");
};
}
2039,7 → 2010,7
if (addr[7:0] != PCL + Y - 256) {
dut_error("ADDR should be equal SP!");
};
if (addr[12:8] != PCH + 1) {
if (addr[12:8] != PCH[4:0] + 1) {
dut_error("ADDR should be equal SP!");
};
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.