OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 27 to Rev 28
    Reverse comparison

Rev 27 → Rev 28

/pltbutils/trunk/sim/example_sim/bin/comp.do
5,6 → 5,7
../../../example/vhdl/dut_example.vhd \
../../../src/vhdl/txt_util.vhd \
../../../src/vhdl/pltbutils_type_pkg.vhd \
../../../src/vhdl/pltbutils_user_cfg_pkg.vhd \
../../../src/vhdl/pltbutils_func_pkg.vhd \
../../../src/vhdl/pltbutils_comp.vhd \
../../../src/vhdl/pltbutils_comp_pkg.vhd \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.