OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 27 to Rev 28
    Reverse comparison

Rev 27 → Rev 28

/trunk/source/fm_chip.rin
0,0 → 1,15
# $Id: fm_chip.rin,v 1.1 2008-06-26 08:11:22 arif_endro Exp $
 
# TOP
north (pclock preset pvdde pvddi)
 
# INPUT
west (pfmin7 pfmin6 pfmin5 pfmin4 pfmin3 pfmin2 pfmin1 pfmin0)
 
# OUTPUT
east (pdmout11 pdmout10 pdmout9 pdmout8 pdmout7 pdmout6 pdmout5 pdmout4 pdmout3 pdmout2 pdmout1 pdmout0)
 
# BOTTOM
south (pvsse pvssi)
 
#width(vss 50 vdd 80)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.