OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 270 to Rev 271
    Reverse comparison

Rev 270 → Rev 271

/trunk/tools/test.c
23,6 → 23,8
#define putchar(C) *(volatile unsigned char*)0x20000000=(unsigned char)(C)
#endif
 
void print_hex(unsigned long num);
 
char text[]="Testing the Plasma core.\n";
char buf[20];
int xyz=0xbadbeef;
78,17 → 80,6
}
}
 
void print_hex(unsigned long num)
{
long i;
unsigned long j;
for(i=28;i>=0;i-=4) {
j=((num>>i)&0xf);
if(j<10) putchar('0'+j);
else putchar('a'-10+j);
}
}
 
void print_string(char *p)
{
int i;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.