OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 28 to Rev 29
    Reverse comparison

Rev 28 → Rev 29

/potato/branches/cache-playground/src/pp_wb_arbiter.vhd
75,6 → 75,7
begin
if rising_edge(clk) then
if reset = '1' then
state <= IDLE;
else
case state is
when IDLE =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.