URL
https://opencores.org/ocsvn/t48/t48/trunk
Subversion Repositories t48
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 281 to Rev 282
- ↔ Reverse comparison
Rev 281 → Rev 282
/trunk/bench/vhdl/tb_t8039.vhd
2,7 → 2,7
-- |
-- The testbench for t8039. |
-- |
-- $Id: tb_t8039.vhd,v 1.4 2006-06-22 00:21:58 arniml Exp $ |
-- $Id: tb_t8039.vhd,v 1.5 2008-04-28 22:13:33 arniml Exp $ |
-- |
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) |
-- |
87,6 → 87,8
signal psen_n_s : std_logic; |
signal prog_n_s : std_logic; |
|
signal t0_b : std_logic; |
|
signal p1_b : std_logic_vector( 7 downto 0); |
signal p2_b : std_logic_vector( 7 downto 0); |
|
150,7 → 152,7
port map ( |
xtal_i => xtal_s, |
reset_n_i => res_n_s, |
t0_b => p1_b(0), |
t0_b => t0_b, |
int_n_i => int_n_s, |
ea_i => one_s, |
rd_n_o => rd_n_s, |
207,6 → 209,8
-- |
----------------------------------------------------------------------------- |
|
t0_b <= p1_b(0); |
|
----------------------------------------------------------------------------- |
-- The clock generator |
-- |
294,6 → 298,9
-- File History: |
-- |
-- $Log: not supported by cvs2svn $ |
-- Revision 1.4 2006/06/22 00:21:58 arniml |
-- cleanup & enhance external access |
-- |
-- Revision 1.3 2006/06/21 01:04:05 arniml |
-- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom |
-- |
/trunk/bench/vhdl/tb_t8048.vhd
2,7 → 2,7
-- |
-- The testbench for t8048. |
-- |
-- $Id: tb_t8048.vhd,v 1.7 2006-06-24 00:51:50 arniml Exp $ |
-- $Id: tb_t8048.vhd,v 1.8 2008-04-28 22:10:13 arniml Exp $ |
-- |
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) |
-- |
88,6 → 88,8
signal psen_n_s : std_logic; |
signal prog_n_s : std_logic; |
|
signal t0_b : std_logic; |
|
signal p1_b : std_logic_vector( 7 downto 0); |
signal p2_b : std_logic_vector( 7 downto 0); |
|
151,7 → 153,7
port map ( |
xtal_i => xtal_s, |
reset_n_i => res_n_s, |
t0_b => p1_b(0), |
t0_b => t0_b, |
int_n_i => int_n_s, |
ea_i => zero_s, |
rd_n_o => rd_n_s, |
209,6 → 211,8
-- |
----------------------------------------------------------------------------- |
|
t0_b <= p1_b(0); |
|
----------------------------------------------------------------------------- |
-- The clock generator |
-- |
296,6 → 300,9
-- File History: |
-- |
-- $Log: not supported by cvs2svn $ |
-- Revision 1.7 2006/06/24 00:51:50 arniml |
-- comment added about lower 1k of external ROM |
-- |
-- Revision 1.6 2006/06/22 00:21:28 arniml |
-- added external ROM |
-- |