OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 29 to Rev 30
    Reverse comparison

Rev 29 → Rev 30

/trunk/syn/xilinx/bin/t80debug.prj
5,5 → 5,5
../../../rtl/vhdl/T80s.vhd
../../../rtl/vhdl/T16450.vhd
../src/MonZ80.vhd
../../../rtl/vhdl/SSRAM.vhd
../../../rtl/vhdl/SSRAMX.vhd
../../../rtl/vhdl/DebugSystem.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.