OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 292 to Rev 293
    Reverse comparison

Rev 292 → Rev 293

/trunk/kernel/uart.c
13,7 → 13,9
#include "plasma.h"
#include "rtos.h"
 
#ifndef NO_PACKETS
#define SUPPORT_DATA_PACKETS
#endif
 
#define BUFFER_WRITE_SIZE 128
#define BUFFER_READ_SIZE 128
474,6 → 476,15
UartPacketOut = data;
OS_InterruptMaskSet(IRQ_UART_WRITE_AVAILABLE);
}
#else
void UartPacketConfig(PacketGetFunc_t PacketGetFunc,
int PacketSize,
OS_MQueue_t *mQueue)
{ (void)PacketGetFunc; (void)PacketSize; (void)mQueue; }
 
 
void UartPacketSend(uint8 *data, int bytes)
{ (void)data; (void)bytes; }
#endif
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.