OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 294 to Rev 295
    Reverse comparison

Rev 294 → Rev 295

/t48/trunk/bench/vhdl/t48_rom-lpm-a.vhd
3,7 → 3,7
-- T8x48 ROM
-- Wrapper for ROM model from the LPM library.
--
-- $Id: t48_rom-lpm-a.vhd,v 1.1 2006-06-21 00:58:27 arniml Exp $
-- $Id$
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
92,10 → 92,3
);
 
end lpm;
 
 
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
t48/trunk/bench/vhdl/t48_rom-lpm-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8048_t8243-c.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8048_t8243-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8048_t8243-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8048 driving a t8243. -- --- $Id: tb_t8048_t8243-c.vhd,v 1.1 2006-07-13 22:55:10 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -33,10 +33,3 @@ end for; end tb_t8048_t8243_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8048_t8243-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/t49_rom-lpm-a.vhd =================================================================== --- t48/trunk/bench/vhdl/t49_rom-lpm-a.vhd (revision 294) +++ t48/trunk/bench/vhdl/t49_rom-lpm-a.vhd (revision 295) @@ -3,7 +3,7 @@ -- T8x49 ROM -- Wrapper for ROM model from the LPM library. -- --- $Id: t49_rom-lpm-a.vhd,v 1.1 2006-06-21 00:58:27 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -92,10 +92,3 @@ ); end lpm; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/t49_rom-lpm-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/t48_rom-lpm-c.vhd =================================================================== --- t48/trunk/bench/vhdl/t48_rom-lpm-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/t48_rom-lpm-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- T8x48 ROM -- Wrapper for ROM model from the LPM library. -- --- $Id: t48_rom-lpm-c.vhd,v 1.1 2006-06-21 00:58:27 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -22,10 +22,3 @@ end for; end t48_rom_lpm_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/t48_rom-lpm-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/t49_rom-lpm-c.vhd =================================================================== --- t48/trunk/bench/vhdl/t49_rom-lpm-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/t49_rom-lpm-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- T8x49 ROM -- Wrapper for ROM model from the LPM library. -- --- $Id: t49_rom-lpm-c.vhd,v 1.1 2006-06-21 00:58:27 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -22,10 +22,3 @@ end for; end t49_rom_lpm_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/t49_rom-lpm-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/if_timing.vhd =================================================================== --- t48/trunk/bench/vhdl/if_timing.vhd (revision 294) +++ t48/trunk/bench/vhdl/if_timing.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- Interface Timing Checker. -- --- $Id: if_timing.vhd,v 1.6 2005-11-01 21:20:36 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -589,26 +589,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2004/12/03 19:58:55 arniml --- add others to case statement --- --- Revision 1.4 2004/10/25 19:33:13 arniml --- remove tAW sanity check --- conflicts with OUTL A, BUS --- --- Revision 1.3 2004/09/12 00:31:50 arniml --- add checks for PSEN --- --- Revision 1.2 2004/04/25 20:40:58 arniml --- check expander timings --- --- Revision 1.1 2004/04/25 16:24:10 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/if_timing.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb.vhd =================================================================== --- t48/trunk/bench/vhdl/tb.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t48_core. -- --- $Id: tb.vhd,v 1.14 2006-06-21 01:04:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -559,57 +559,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.13 2006/06/20 00:45:26 arniml --- new input xtal_en_i --- --- Revision 1.12 2005/11/01 21:21:48 arniml --- split low impedance markers for P2 --- --- Revision 1.11 2005/09/07 17:39:40 arniml --- fix missing assignment to outclock --- --- Revision 1.10 2004/05/21 11:24:47 arniml --- split 4k internal ROM into --- + 2k internal ROM --- + 2k external ROM --- EA of t48_core is driven by MSB of internal ROM address --- if upper 2k block is selected, the system switches to EA mode on the fly --- --- Revision 1.9 2004/05/17 14:43:33 arniml --- add testbench peripherals for P1 and P2 --- this became necessary to observe a difference between externally applied --- port data and internally applied port data --- --- Revision 1.8 2004/04/25 20:41:48 arniml --- connect if_timing to P2 output of T48 --- --- Revision 1.7 2004/04/25 16:23:21 arniml --- added if_timing --- --- Revision 1.6 2004/04/14 20:57:44 arniml --- wait for instruction strobe after final end-of-simulation detection --- this ensures that the last mov instruction is part of the dump and --- enables 100% matching with i8039 simulator --- --- Revision 1.5 2004/03/29 19:45:15 arniml --- rename pX_limp to pX_low_imp --- --- Revision 1.4 2004/03/28 21:30:25 arniml --- connect prog_n_o --- --- Revision 1.3 2004/03/26 22:39:28 arniml --- enhance simulation result string --- --- Revision 1.2 2004/03/24 23:22:35 arniml --- put ext_ram on falling clock edge to sample the write enable properly --- --- Revision 1.1 2004/03/24 21:42:10 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8243.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8243.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8243.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8243 core. -- --- $Id: tb_t8243.vhd,v 1.1 2006-07-14 01:02:47 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -622,10 +622,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8243.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8039.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8039.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8039.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8039. -- --- $Id: tb_t8039.vhd,v 1.5 2008-04-28 22:13:33 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -292,22 +292,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/06/22 00:21:58 arniml --- cleanup & enhance external access --- --- Revision 1.3 2006/06/21 01:04:05 arniml --- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom --- --- Revision 1.2 2005/11/01 21:22:28 arniml --- fix address assignment --- --- Revision 1.1 2004/04/18 19:00:07 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8039.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8048.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8048.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8048.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8048. -- --- $Id: tb_t8048.vhd,v 1.8 2008-04-28 22:10:13 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -294,33 +294,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2006/06/24 00:51:50 arniml --- comment added about lower 1k of external ROM --- --- Revision 1.6 2006/06/22 00:21:28 arniml --- added external ROM --- --- Revision 1.5 2006/06/21 01:04:05 arniml --- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom --- --- Revision 1.4 2004/04/18 19:00:58 arniml --- connect T0 and T1 to P1 --- --- Revision 1.3 2004/04/14 20:57:44 arniml --- wait for instruction strobe after final end-of-simulation detection --- this ensures that the last mov instruction is part of the dump and --- enables 100% matching with i8039 simulator --- --- Revision 1.2 2004/03/26 22:39:28 arniml --- enhance simulation result string --- --- Revision 1.1 2004/03/24 21:42:10 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8048.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8048_t8243.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8048_t8243.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8048_t8243.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8048 driving a t8243. -- --- $Id: tb_t8048_t8243.vhd,v 1.1 2006-07-13 22:55:10 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -308,33 +308,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2006/06/24 00:51:50 arniml --- comment added about lower 1k of external ROM --- --- Revision 1.6 2006/06/22 00:21:28 arniml --- added external ROM --- --- Revision 1.5 2006/06/21 01:04:05 arniml --- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom --- --- Revision 1.4 2004/04/18 19:00:58 arniml --- connect T0 and T1 to P1 --- --- Revision 1.3 2004/04/14 20:57:44 arniml --- wait for instruction strobe after final end-of-simulation detection --- this ensures that the last mov instruction is part of the dump and --- enables 100% matching with i8039 simulator --- --- Revision 1.2 2004/03/26 22:39:28 arniml --- enhance simulation result string --- --- Revision 1.1 2004/03/24 21:42:10 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8048_t8243.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/if_timing-c.vhd =================================================================== --- t48/trunk/bench/vhdl/if_timing-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/if_timing-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The Interface Timing Checker. -- --- $Id: if_timing-c.vhd,v 1.1 2004-04-25 16:24:10 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end if_timing_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/if_timing-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb-c.vhd =================================================================== --- t48/trunk/bench/vhdl/tb-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t48_core. -- --- $Id: tb-c.vhd,v 1.4 2006-06-21 01:04:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -41,19 +41,3 @@ end for; end tb_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2004/05/21 11:22:44 arniml --- exchange syn_rom for lpm_rom --- --- Revision 1.2 2004/04/25 16:23:21 arniml --- added if_timing --- --- Revision 1.1 2004/03/24 21:42:10 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8243-c.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8243-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8243-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8243 core. -- --- $Id: tb_t8243-c.vhd,v 1.1 2006-07-14 01:02:47 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -45,10 +45,3 @@ end for; end tb_t8243_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8243-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8039-c.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8039-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8039-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8039. -- --- $Id: tb_t8039-c.vhd,v 1.2 2006-06-21 01:04:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -29,13 +29,3 @@ end for; end tb_t8039_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/04/18 19:00:07 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8039-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/bench/vhdl/tb_t8048-c.vhd =================================================================== --- t48/trunk/bench/vhdl/tb_t8048-c.vhd (revision 294) +++ t48/trunk/bench/vhdl/tb_t8048-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The testbench for t8048. -- --- $Id: tb_t8048-c.vhd,v 1.3 2006-06-22 00:21:28 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -29,16 +29,3 @@ end for; end tb_t8048_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/06/21 01:04:05 arniml --- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom --- --- Revision 1.1 2004/03/24 21:42:10 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/bench/vhdl/tb_t8048-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/clock_ctrl-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/clock_ctrl-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/clock_ctrl-c.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: clock_ctrl-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- The clock control unit. --
t48/trunk/rtl/vhdl/clock_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t48_tb_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/t48_tb_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t48_tb_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t48_tb_pack-p.vhd,v 1.2 2004-04-14 20:53:54 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/t48_tb_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/p1-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/p1-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/p1-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Port 1 unit. -- Implements the Port 1 logic. -- --- $Id: p1-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- All rights reserved --
t48/trunk/rtl/vhdl/p1-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/cond_branch.vhd =================================================================== --- t48/trunk/rtl/vhdl/cond_branch.vhd (revision 294) +++ t48/trunk/rtl/vhdl/cond_branch.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Conditional Branch Logic unit. -- Decisions whether to take a jump or not are made here. -- --- $Id: cond_branch.vhd,v 1.3 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -199,16 +199,3 @@ take_branch_o <= take_branch_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2004/04/24 23:44:25 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/cond_branch.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/decoder_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/decoder_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/decoder_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: decoder_pack-p.vhd,v 1.4 2008-04-29 21:19:21 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -426,19 +426,3 @@ end; end t48_decoder_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.2 2004/03/28 13:09:53 arniml --- merge MN_ANLD, MN_MOVD_PP_A and MN_ORLD_PP_A to OUTLD_PP_A --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/decoder_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/timer-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/timer-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/timer-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The Timer/Counter unit. -- --- $Id: timer-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- All rights reserved --
t48/trunk/rtl/vhdl/timer-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/p2-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/p2-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/p2-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Port 2 unit. -- Implements the Port 2 logic. -- --- $Id: p2-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- All rights reserved --
t48/trunk/rtl/vhdl/p2-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/dmem_ctrl_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/dmem_ctrl_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/dmem_ctrl_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: dmem_ctrl_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -19,13 +19,3 @@ DM_STACK_HIGH); end t48_dmem_ctrl_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/dmem_ctrl_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/pmem_ctrl-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/pmem_ctrl-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/pmem_ctrl-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Program Memory control unit. -- All operations related to the Program Memory are managed here. -- --- $Id: pmem_ctrl-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- All rights reserved --
t48/trunk/rtl/vhdl/pmem_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/bus_mux-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/bus_mux-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/bus_mux-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The T48 Bus Connector. -- Multiplexes all drivers of the T48 bus. -- --- $Id: bus_mux-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/bus_mux-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t49_rom-struct-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t49_rom-struct-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t49_rom-struct-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8x49 ROM -- --- $Id: t49_rom-struct-c.vhd,v 1.1 2006-11-26 12:52:34 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t49_rom-struct-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8048_notri.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8048_notri.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8048_notri.vhd (revision 295) @@ -3,8 +3,7 @@ -- T8048 Microcontroller System -- 8048 toplevel without tri-states -- --- $Id: t8048_notri.vhd,v 1.7 2006-07-14 01:13:32 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -243,26 +242,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2006/06/21 01:02:16 arniml --- replaced syn_rom and syn_ram with t48_rom and generic_ram_ena --- --- Revision 1.5 2006/06/20 00:47:08 arniml --- new input xtal_en_i --- --- Revision 1.4 2005/11/01 21:38:48 arniml --- wire signals for P2 low impedance marker issue --- --- Revision 1.3 2004/12/02 22:08:42 arniml --- introduced generic gate_port_input_g --- forces masking of P1 and P2 input bus --- --- Revision 1.2 2004/12/01 23:08:08 arniml --- update --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t8048_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8039_notri.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8039_notri.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8039_notri.vhd (revision 295) @@ -3,8 +3,7 @@ -- T8039 Microcontroller System -- 8039 toplevel without tri-states -- --- $Id: t8039_notri.vhd,v 1.5 2006-07-14 01:13:32 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -203,22 +202,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/06/21 01:02:35 arniml --- replaced syn_ram with generic_ram_ena --- --- Revision 1.3 2006/06/20 00:47:08 arniml --- new input xtal_en_i --- --- Revision 1.2 2005/11/01 21:38:10 arniml --- wire signals for P2 low impedance marker issue --- --- Revision 1.1 2004/12/03 19:42:34 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t8039_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/wb_master-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/wb_master-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/wb_master-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The Wishbone master module. -- --- $Id: wb_master-c.vhd,v 1.2 2005-06-11 10:16:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/wb_master-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/lpm_rom.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/lpm_rom.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/lpm_rom.vhd (revision 295)
t48/trunk/rtl/vhdl/system/lpm_rom.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8039-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8039-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8039-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8039 Microcontroller System -- --- $Id: t8039-c.vhd,v 1.2 2004-12-03 19:43:12 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t8039-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8048-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8048-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8048-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8048 Microcontroller System -- --- $Id: t8048-c.vhd,v 1.2 2004-12-01 23:09:47 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t8048-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8050_wb.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8050_wb.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8050_wb.vhd (revision 295) @@ -3,8 +3,7 @@ -- T8048 Microcontroller System -- 8050 toplevel with Wishbone interface -- --- $Id: t8050_wb.vhd,v 1.5 2006-07-14 01:14:22 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) -- @@ -289,22 +288,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/06/20 00:58:49 arniml --- new input xtal_en_i --- --- Revision 1.3 2005/11/01 21:39:14 arniml --- wire signals for P2 low impedance marker issue --- --- Revision 1.2 2005/06/11 10:16:05 arniml --- introduce prefix 't48_' for wb_master entity and configuration --- --- Revision 1.1 2005/05/08 10:36:59 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t8050_wb.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/lpm_ram_dq.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/lpm_ram_dq.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/lpm_ram_dq.vhd (revision 295)
t48/trunk/rtl/vhdl/system/lpm_ram_dq.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/generic_ram_ena-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/generic_ram_ena-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/generic_ram_ena-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM with enable. -- --- $Id: generic_ram_ena-c.vhd,v 1.1 2006-06-21 00:59:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/generic_ram_ena-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t48_rom-e.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t48_rom-e.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t48_rom-e.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8x48 ROM -- --- $Id: t48_rom-e.vhd,v 1.1 2006-06-21 00:59:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -55,10 +55,3 @@ ); end t48_rom; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t48_rom-e.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t49_rom-e.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t49_rom-e.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t49_rom-e.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8x49 ROM -- --- $Id: t49_rom-e.vhd,v 1.1 2006-06-21 00:59:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -55,10 +55,3 @@ ); end t49_rom; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t49_rom-e.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8048_notri-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8048_notri-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8048_notri-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8048 Microcontroller System -- --- $Id: t8048_notri-c.vhd,v 1.2 2006-06-21 01:02:16 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t8048_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8039_notri-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8039_notri-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8039_notri-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- T8039 Microcontroller System -- 8039 toplevel without tri-states -- --- $Id: t8039_notri-c.vhd,v 1.2 2006-06-21 01:02:35 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t8039_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/wb_master.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/wb_master.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/wb_master.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The Wishbone master module. -- --- $Id: wb_master.vhd,v 1.5 2005-06-11 10:16:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) -- @@ -247,25 +247,3 @@ '0'; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2005/05/10 22:36:23 arniml --- save data from wishbone bus in register bank with wb_ack --- necessary to hold data from peripheral/memory until it is read by T48 --- --- Revision 1.3 2005/05/08 10:36:07 arniml --- simplify address range: --- - configuration range --- - Wishbone range --- --- Revision 1.2 2005/05/06 18:54:03 arniml --- assign default for state_s --- --- Revision 1.1 2005/05/05 19:49:03 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/wb_master.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8039.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8039.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8039.vhd (revision 295) @@ -2,8 +2,7 @@ -- -- T8039 Microcontroller System -- --- $Id: t8039.vhd,v 1.7 2006-07-14 01:13:32 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -181,22 +180,3 @@ ----------------------------------------------------------------------------- end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2006/06/20 00:47:08 arniml --- new input xtal_en_i --- --- Revision 1.5 2005/11/02 23:41:43 arniml --- properly drive P1 and P2 with low impedance markers --- --- Revision 1.4 2005/11/01 21:37:45 arniml --- wire signals for P2 low impedance marker issue --- --- Revision 1.3 2004/12/03 19:43:12 arniml --- added hierarchy t8039_notri --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t8039.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8048.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8048.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8048.vhd (revision 295) @@ -2,8 +2,7 @@ -- -- T8048 Microcontroller System -- --- $Id: t8048.vhd,v 1.11 2006-07-14 01:13:32 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -185,44 +184,3 @@ end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.10 2006/06/20 00:47:08 arniml --- new input xtal_en_i --- --- Revision 1.9 2005/11/02 23:41:43 arniml --- properly drive P1 and P2 with low impedance markers --- --- Revision 1.8 2005/11/01 21:38:31 arniml --- wire signals for P2 low impedance marker issue --- --- Revision 1.7 2004/12/03 19:44:36 arniml --- removed obsolete constant --- --- Revision 1.6 2004/12/02 22:08:42 arniml --- introduced generic gate_port_input_g --- forces masking of P1 and P2 input bus --- --- Revision 1.5 2004/12/01 23:09:47 arniml --- intruduced hierarchy t8048_notri where all system functionality --- except bidirectional ports is handled --- --- Revision 1.4 2004/10/24 09:10:16 arniml --- Fix for: --- P1 constantly in push-pull mode in t8048 --- --- Revision 1.3 2004/05/20 21:58:26 arniml --- Fix for: --- External Program Memory ignored when EA = 0 --- --- Revision 1.2 2004/03/29 19:40:14 arniml --- rename pX_limp to pX_low_imp --- --- Revision 1.1 2004/03/24 21:32:27 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t8048.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t8050_wb-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t8050_wb-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t8050_wb-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8048 Microcontroller System -- --- $Id: t8050_wb-c.vhd,v 1.2 2005-06-11 10:19:14 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t8050_wb-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t48_system_comp_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t48_system_comp_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t48_system_comp_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t48_system_comp_pack-p.vhd,v 1.8 2006-06-20 00:47:08 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t48_system_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t48_rom-struct-a.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t48_rom-struct-a.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t48_rom-struct-a.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8x48 ROM -- --- $Id: t48_rom-struct-a.vhd,v 1.3 2006-11-26 12:53:28 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -63,16 +63,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/11/26 11:58:28 arniml --- fix component name --- --- Revision 1.1 2006/06/21 00:59:15 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t48_rom-struct-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t49_rom-struct-a.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t49_rom-struct-a.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t49_rom-struct-a.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8x49 ROM -- --- $Id: t49_rom-struct-a.vhd,v 1.3 2006-11-26 12:53:28 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -63,16 +63,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/11/26 11:58:28 arniml --- fix component name --- --- Revision 1.1 2006/06/21 00:59:15 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/system/t49_rom-struct-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/t48_rom-struct-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/t48_rom-struct-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/t48_rom-struct-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8x48 ROM -- --- $Id: t48_rom-struct-c.vhd,v 1.1 2006-11-26 12:52:34 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/t48_rom-struct-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/system/generic_ram_ena.vhd =================================================================== --- t48/trunk/rtl/vhdl/system/generic_ram_ena.vhd (revision 294) +++ t48/trunk/rtl/vhdl/system/generic_ram_ena.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM with enable. -- --- $Id: generic_ram_ena.vhd,v 1.1 2006-06-21 00:59:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/system/generic_ram_ena.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8243 Core -- --- $Id: t8243-c.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ +-- $Id$ -- -------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243.vhd (revision 295) @@ -2,8 +2,7 @@ -- -- The T8243 asynchronous toplevel -- --- $Id: t8243.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -136,10 +135,3 @@ (others => 'Z'); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_sync_notri-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_sync_notri-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_sync_notri-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8243 Core -- --- $Id: t8243_sync_notri-c.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ +-- $Id$ -- -------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243_sync_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_sync_notri.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_sync_notri.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_sync_notri.vhd (revision 295) @@ -2,8 +2,7 @@ -- -- The T8243 synchronous toplevel without tri-state signals -- --- $Id: t8243_sync_notri.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -152,10 +151,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243_sync_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_async_notri-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_async_notri-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_async_notri-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8243 Core -- --- $Id: t8243_async_notri-c.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ +-- $Id$ -- -------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243_async_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_async_notri.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_async_notri.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_async_notri.vhd (revision 295) @@ -2,8 +2,7 @@ -- -- The T8243 asynchronous toplevel without tri-state signals -- --- $Id: t8243_async_notri.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -123,10 +122,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243_async_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_core-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_core-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_core-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T8243 Core -- --- $Id: t8243_core-c.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ +-- $Id$ -- -------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243_core-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_core.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_core.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_core.vhd (revision 295) @@ -4,8 +4,7 @@ -- This is the core module implementing all functionality of the -- original 8243 chip. -- --- $Id: t8243_core.vhd,v 1.2 2006-12-18 01:18:58 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -290,13 +289,3 @@ p7_en_o <= px_en_q(port_7_c); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/07/13 22:53:56 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t8243/t8243_core.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t8243/t8243_comp_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/t8243/t8243_comp_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t8243/t8243_comp_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t8243_comp_pack-p.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/t8243/t8243_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/dmem_ctrl.vhd =================================================================== --- t48/trunk/rtl/vhdl/dmem_ctrl.vhd (revision 294) +++ t48/trunk/rtl/vhdl/dmem_ctrl.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Data Memory control unit. -- All accesses to the Data Memory are managed here. -- --- $Id: dmem_ctrl.vhd,v 1.5 2006-06-20 01:07:16 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -200,22 +200,3 @@ dmem_we_o <= to_stdLogic(write_dmem_i); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.3 2004/04/24 23:44:25 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.2 2004/04/18 18:58:29 arniml --- clean up sensitivity list --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/dmem_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/alu_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/alu_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/alu_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: alu_pack-p.vhd,v 1.3 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -33,16 +33,3 @@ subtype alu_operand_t is std_logic_vector(word_width_c downto 0); end t48_alu_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2004/04/04 14:18:53 arniml --- add measures to implement XCHD --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/alu_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/pmem_ctrl_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/pmem_ctrl_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/pmem_ctrl_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: pmem_ctrl_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -18,13 +18,3 @@ PM_PAGE3); end t48_pmem_ctrl_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/03/23 21:31:53 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/pmem_ctrl_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/db_bus-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/db_bus-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/db_bus-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The BUS unit. -- Implements the BUS port logic. -- --- $Id: db_bus-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/db_bus-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/clock_ctrl.vhd =================================================================== --- t48/trunk/rtl/vhdl/clock_ctrl.vhd (revision 294) +++ t48/trunk/rtl/vhdl/clock_ctrl.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Clock Control unit. -- Clock States and Machine Cycles are generated here. -- --- $Id: clock_ctrl.vhd,v 1.12 2006-07-14 01:04:35 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, 2005, Arnim Laeuger (arniml@opencores.org) -- @@ -395,50 +395,3 @@ wr_o <= wr_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.11 2006/06/20 00:46:38 arniml --- new input xtal_en_i gates xtal_i base clock --- --- Revision 1.10 2005/11/01 21:24:21 arniml --- * shift assertion of ALE and PROG to xtal3 --- * correct change of revision 1.8 --- --- Revision 1.9 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.8 2005/06/09 22:15:10 arniml --- Use en_clk_i instead of xtal3_s for generation of external signals. --- This is required when the core runs with full xtal clock instead --- of xtal/3 (xtal_div_3_g = 0). --- --- Revision 1.7 2005/05/04 20:12:36 arniml --- Fix bug report: --- "Wrong clock applied to T0" --- t0_o is generated inside clock_ctrl with a separate flip-flop running --- with xtal_i --- --- Revision 1.6 2004/10/25 20:31:12 arniml --- remove PROG and end of XTAL2, see comment for details --- --- Revision 1.5 2004/10/25 19:35:41 arniml --- deassert rd_q, wr_q and prog_q at end of XTAL3 --- --- Revision 1.4 2004/04/24 23:44:25 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.3 2004/04/18 18:56:23 arniml --- reset machine state to MSTATE3 to allow proper instruction fetch --- after reset --- --- Revision 1.2 2004/03/28 12:55:06 arniml --- move code for PROG out of if-branch for xtal3_s --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/clock_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/timer.vhd =================================================================== --- t48/trunk/rtl/vhdl/timer.vhd (revision 294) +++ t48/trunk/rtl/vhdl/timer.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- The Timer/Counter unit. -- --- $Id: timer.vhd,v 1.7 2006-11-30 14:31:59 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -250,32 +250,3 @@ overflow_o <= to_stdLogic(overflow_q); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.5 2004/07/11 16:51:33 arniml --- cleanup copyright notice --- --- Revision 1.4 2004/07/04 13:06:45 arniml --- counter_q is not cleared during reset --- this would match all different descriptions of the Counter as --- a) if the software assumes that the Counter is modified during reset, it --- will initialize the Counter anyhow --- b) the special case 'Counter not modified during reset' is covered --- --- Revision 1.3 2004/05/16 15:32:57 arniml --- fix edge detector bug for counter --- --- Revision 1.2 2004/04/15 22:05:13 arniml --- increment prescaler with MSTATE4 --- --- Revision 1.1 2004/03/23 21:31:53 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/timer.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/p1.vhd =================================================================== --- t48/trunk/rtl/vhdl/p1.vhd (revision 294) +++ t48/trunk/rtl/vhdl/p1.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Port 1 unit. -- Implements the Port 1 logic. -- --- $Id: p1.vhd,v 1.5 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -149,22 +149,3 @@ p1_low_imp_o <= low_imp_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2004/07/11 16:51:33 arniml --- cleanup copyright notice --- --- Revision 1.3 2004/05/17 14:37:53 arniml --- reorder data_o generation --- --- Revision 1.2 2004/03/29 19:39:58 arniml --- rename pX_limp to pX_low_imp --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/p1.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/p2.vhd =================================================================== --- t48/trunk/rtl/vhdl/p2.vhd (revision 294) +++ t48/trunk/rtl/vhdl/p2.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Port 2 unit. -- Implements the Port 2 logic. -- --- $Id: p2.vhd,v 1.9 2006-06-20 00:46:04 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -236,37 +236,3 @@ p2h_low_imp_o <= h_low_imp_del_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.8 2005/11/01 21:27:55 arniml --- * change low impedance markers for P2 --- separate marker for low and high part --- * p2_o output is also registered to prevent combinational --- output to pads --- --- Revision 1.7 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.6 2004/07/11 16:51:33 arniml --- cleanup copyright notice --- --- Revision 1.5 2004/05/17 13:52:46 arniml --- Fix bug "ANL and ORL to P1/P2 read port status instead of port output register" --- --- Revision 1.4 2004/04/24 23:44:25 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.3 2004/03/29 19:39:58 arniml --- rename pX_limp to pX_low_imp --- --- Revision 1.2 2004/03/28 13:11:43 arniml --- rework Port 2 expander handling --- --- Revision 1.1 2004/03/23 21:31:53 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/p2.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/bus_mux.vhd =================================================================== --- t48/trunk/rtl/vhdl/bus_mux.vhd (revision 294) +++ t48/trunk/rtl/vhdl/bus_mux.vhd (revision 295) @@ -3,7 +3,7 @@ -- The T48 Bus Connector. -- Multiplexes all drivers of the T48 bus. -- --- $Id: bus_mux.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -98,13 +98,3 @@ end generate; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/bus_mux.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/pmem_ctrl.vhd =================================================================== --- t48/trunk/rtl/vhdl/pmem_ctrl.vhd (revision 294) +++ t48/trunk/rtl/vhdl/pmem_ctrl.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Program Memory control unit. -- All operations related to the Program Memory are managed here. -- --- $Id: pmem_ctrl.vhd,v 1.5 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -220,23 +220,3 @@ pmem_addr_o <= pmem_addr_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2005/06/08 19:13:53 arniml --- fix bug report --- "MSB of Program Counter changed upon PC increment" --- --- Revision 1.3 2004/07/11 16:51:33 arniml --- cleanup copyright notice --- --- Revision 1.2 2004/04/24 23:44:25 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.1 2004/03/23 21:31:53 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/pmem_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/decoder-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/decoder-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/decoder-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Decoder unit. -- It decodes the instruction opcodes and executes them. -- --- $Id: decoder-c.vhd,v 1.3 2008-04-29 21:19:21 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/decoder-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/psw-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/psw-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/psw-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Program Status Word (PSW). -- Implements the PSW with its special bits. -- --- $Id: psw-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- All rights reserved --
t48/trunk/rtl/vhdl/psw-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/db_bus.vhd =================================================================== --- t48/trunk/rtl/vhdl/db_bus.vhd (revision 294) +++ t48/trunk/rtl/vhdl/db_bus.vhd (revision 295) @@ -3,7 +3,7 @@ -- The BUS unit. -- Implements the BUS port logic. -- --- $Id: db_bus.vhd,v 1.5 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -144,23 +144,3 @@ db_i; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2005/06/09 22:16:26 arniml --- Implement db_dir_o glitch-safe --- --- Revision 1.3 2004/10/25 20:30:18 arniml --- delay db_dir_o by one machine cycle --- this fixes the timing relation between BUS data and WR' --- --- Revision 1.2 2004/04/04 14:15:45 arniml --- add dump_compare support --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/db_bus.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t48_core_comp_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/t48_core_comp_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t48_core_comp_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t48_core_comp_pack-p.vhd,v 1.5 2006-06-21 01:03:28 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/t48_core_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/alu-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/alu-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/alu-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Arithmetic Logic Unit (ALU). -- It contains the ALU core plus the Accumulator and the Temp Reg. -- --- $Id: alu-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/alu-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/decoder.vhd =================================================================== --- t48/trunk/rtl/vhdl/decoder.vhd (revision 294) +++ t48/trunk/rtl/vhdl/decoder.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Decoder unit. -- It decodes the instruction opcodes and executes them. -- --- $Id: decoder.vhd,v 1.27 2008-05-02 21:20:41 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -2006,111 +2006,3 @@ bus_read_bus_o <= bus_read_bus_s or add_read_bus_s; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.26 2008/04/29 21:19:21 arniml --- better support for ISE/XST: --- opc_table and opc_decoder merged into decoder_pack and decoder --- --- Revision 1.25 2006/06/20 00:46:03 arniml --- new input xtal_en_i --- --- Revision 1.24 2005/11/14 21:12:29 arniml --- suppress p2_output_pch_o when MOVX operation is accessing the --- external memory --- --- Revision 1.23 2005/11/07 19:25:01 arniml --- fix sensitivity list --- --- Revision 1.22 2005/11/01 21:25:37 arniml --- * suppress p2_output_pch_o when p2_output_exp is active --- * wire xtal_i to interrupt module --- --- Revision 1.21 2005/10/31 10:08:33 arniml --- Suppress assertion of bus_read_bus_s when interrupt is pending. --- This should fix bug report --- "PROBLEM WHEN INT AND JMP" --- --- Revision 1.20 2005/09/13 21:08:34 arniml --- move check for int_pending_s into ea_i_='0' branch --- this fixes a glitch on PCH when an interrutp occurs --- during external program memory fetch --- --- Revision 1.19 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.18 2005/06/09 22:18:28 arniml --- Move latching of BUS to MSTATE2 --- -> sample BUS at the end of RD' --- --- Revision 1.17 2005/05/09 22:26:08 arniml --- remove obsolete output stack_high_o --- --- Revision 1.16 2004/10/25 19:39:24 arniml --- Fix bug report: --- "RD' and WR' not asserted for INS A, BUS and OUTL BUS, A" --- rd is asserted for INS A, BUS --- wr is asserted for OUTL BUS, A --- P1, P2 and BUS are written in first instruction cycle --- --- Revision 1.15 2004/09/12 00:35:44 arniml --- Fix bug report: --- "PSENn Timing" --- PSEN is now only asserted for the second cycle if explicitely --- requested by assert_psen_s. --- The previous implementation asserted PSEN together with RD or WR. --- --- Revision 1.14 2004/06/30 21:18:28 arniml --- Fix bug report: --- "Program Memory bank can be switched during interrupt" --- int module emits int_in_progress signal that is used inside the decoder --- to hold mb low for JMP and CALL during interrupts --- --- Revision 1.13 2004/05/20 21:51:40 arniml --- clean-up use of ea_i --- --- Revision 1.12 2004/05/17 14:40:09 arniml --- assert p2_read_p2_o when expander port is read --- --- Revision 1.11 2004/05/16 15:33:39 arniml --- work around bug in Quartus II 4.0 --- --- Revision 1.10 2004/04/25 16:22:03 arniml --- adjust external timing of BUS --- --- Revision 1.9 2004/04/24 11:22:55 arniml --- removed superfluous signal from sensitivity list --- --- Revision 1.8 2004/04/18 18:57:43 arniml --- + enhance instruction strobe generation --- + rework address output under EA=1 conditions --- --- Revision 1.7 2004/04/15 22:06:05 arniml --- + add marker for injected calls --- + suppress intstruction strobes for injected calls --- --- Revision 1.6 2004/04/14 20:53:33 arniml --- make istrobe visible through testbench package --- --- Revision 1.5 2004/04/07 22:09:03 arniml --- remove unused signals --- --- Revision 1.4 2004/04/04 14:18:53 arniml --- add measures to implement XCHD --- --- Revision 1.3 2004/03/28 21:15:48 arniml --- implemented mnemonic DA --- --- Revision 1.2 2004/03/28 13:06:32 arniml --- implement mnemonics: --- + MOVD_A_PP --- + OUTD_PP_A -> ANLD PP, A; MOVD PP, A; ORLD PP, A --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/decoder.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t48_core-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/t48_core-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t48_core-c.vhd (revision 295) @@ -2,7 +2,7 @@ -- -- T48 Microcontroller Core -- --- $Id: t48_core-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t48_core-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/psw.vhd =================================================================== --- t48/trunk/rtl/vhdl/psw.vhd (revision 294) +++ t48/trunk/rtl/vhdl/psw.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Program Status Word (PSW). -- Implements the PSW with its special bits. -- --- $Id: psw.vhd,v 1.8 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -210,31 +210,3 @@ bs_o <= psw_q(bs_c); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2004/07/11 16:51:33 arniml --- cleanup copyright notice --- --- Revision 1.6 2004/04/24 23:44:25 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.5 2004/04/24 11:25:39 arniml --- removed dummy_s - workaround not longer needed for GHDL 0.11.1 --- --- Revision 1.4 2004/04/18 18:59:01 arniml --- add temporary workaround for GHDL 0.11 --- --- Revision 1.3 2004/04/04 14:15:45 arniml --- add dump_compare support --- --- Revision 1.2 2004/03/28 21:28:13 arniml --- take auxiliary carry from direct ALU connection --- --- Revision 1.1 2004/03/23 21:31:53 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/psw.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t48_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/t48_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t48_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t48_pack-p.vhd,v 1.1 2004-03-23 21:31:53 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/t48_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/int-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/int-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/int-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Interrupt Controller. -- It collects the interrupt sources and notifies the decoder. -- --- $Id: int-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- All rights reserved --
t48/trunk/rtl/vhdl/int-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/cond_branch-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/cond_branch-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/cond_branch-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Conditional Branch Logic unit. -- Decisions whether to take a jump or not are made here. -- --- $Id: cond_branch-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/cond_branch-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/alu.vhd =================================================================== --- t48/trunk/rtl/vhdl/alu.vhd (revision 294) +++ t48/trunk/rtl/vhdl/alu.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Arithmetic Logic Unit (ALU). -- It contains the ALU core plus the Accumulator and the Temp Reg. -- --- $Id: alu.vhd,v 1.9 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -413,34 +413,3 @@ (others => bus_idle_level_c); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.8 2004/04/24 23:43:56 arniml --- move from std_logic_arith to numeric_std --- --- Revision 1.7 2004/04/07 22:09:03 arniml --- remove unused signals --- --- Revision 1.6 2004/04/07 20:56:23 arniml --- default assignment for aux_carry_o --- --- Revision 1.5 2004/04/06 20:21:53 arniml --- fix sensitivity list --- --- Revision 1.4 2004/04/06 18:10:41 arniml --- rework adder and force resource sharing between ADD, INC and DEC --- --- Revision 1.3 2004/04/04 14:18:52 arniml --- add measures to implement XCHD --- --- Revision 1.2 2004/03/28 21:08:51 arniml --- support for DA instruction --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/alu.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/dmem_ctrl-c.vhd =================================================================== --- t48/trunk/rtl/vhdl/dmem_ctrl-c.vhd (revision 294) +++ t48/trunk/rtl/vhdl/dmem_ctrl-c.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Data Memory control unit. -- All accesses to the Data Memory are managed here. -- --- $Id: dmem_ctrl-c.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/dmem_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t48_core.vhd =================================================================== --- t48/trunk/rtl/vhdl/t48_core.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t48_core.vhd (revision 295) @@ -2,8 +2,7 @@ -- -- T48 Microcontroller Core -- --- $Id: t48_core.vhd,v 1.12 2006-07-14 01:12:08 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2004, 2005, Arnim Laeuger (arniml@opencores.org) -- @@ -631,46 +630,3 @@ pmem_addr_o <= pmem_addr_s; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.11 2006/06/20 00:46:04 arniml --- new input xtal_en_i --- --- Revision 1.10 2005/11/01 21:32:58 arniml --- wire signals for P2 low impeddance marker issue --- --- Revision 1.9 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.8 2005/05/04 20:12:37 arniml --- Fix bug report: --- "Wrong clock applied to T0" --- t0_o is generated inside clock_ctrl with a separate flip-flop running --- with xtal_i --- --- Revision 1.7 2004/05/01 11:58:04 arniml --- update notice about expander port instructions --- --- Revision 1.6 2004/04/07 22:09:03 arniml --- remove unused signals --- --- Revision 1.5 2004/04/04 14:18:53 arniml --- add measures to implement XCHD --- --- Revision 1.4 2004/03/29 19:39:58 arniml --- rename pX_limp to pX_low_imp --- --- Revision 1.3 2004/03/28 21:27:50 arniml --- update wiring for DA support --- --- Revision 1.2 2004/03/28 13:13:20 arniml --- connect control signal for Port 2 expander --- --- Revision 1.1 2004/03/23 21:31:53 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/t48_core.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/t48_comp_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/t48_comp_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/t48_comp_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t48_comp_pack-p.vhd,v 1.12 2008-05-01 19:28:41 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, 2005, Arnim Laeuger (arniml@opencores.org) --
t48/trunk/rtl/vhdl/t48_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/int.vhd =================================================================== --- t48/trunk/rtl/vhdl/int.vhd (revision 294) +++ t48/trunk/rtl/vhdl/int.vhd (revision 295) @@ -3,7 +3,7 @@ -- The Interrupt Controller. -- It collects the interrupt sources and notifies the decoder. -- --- $Id: int.vhd,v 1.7 2006-06-20 00:46:03 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -251,36 +251,3 @@ int_in_progress_o <= int_in_progress_q and int_state_q /= IDLE; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2005/11/01 21:26:24 arniml --- operate ale_q and int_q with xtal_i after shift of ALE assertion to XTAL3 --- --- Revision 1.5 2005/09/13 21:00:16 arniml --- Fix bug reports: --- "Target address of JMP to Program Memory Bank 1 corrupted by interrupt" --- "Return address of CALL to Program Memory Bank 1 corrupted by interrupt" --- int_in_progress_o was active one cycle before int_pending_o is --- asserted. this confused the mb multiplexer which determines the state of --- the memory bank selection flag --- --- Revision 1.4 2005/06/11 10:08:43 arniml --- introduce prefix 't48_' for all packages, entities and configurations --- --- Revision 1.3 2004/07/11 16:51:33 arniml --- cleanup copyright notice --- --- Revision 1.2 2004/06/30 21:18:28 arniml --- Fix bug report: --- "Program Memory bank can be switched during interrupt" --- int module emits int_in_progress signal that is used inside the decoder --- to hold mb low for JMP and CALL during interrupts --- --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/int.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/rtl/vhdl/cond_branch_pack-p.vhd =================================================================== --- t48/trunk/rtl/vhdl/cond_branch_pack-p.vhd (revision 294) +++ t48/trunk/rtl/vhdl/cond_branch_pack-p.vhd (revision 295) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: cond_branch_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -- @@ -26,13 +26,3 @@ subtype comp_value_t is std_logic_vector(2 downto 0); end t48_cond_branch_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/03/23 21:31:52 arniml --- initial check-in --- --------------------------------------------------------------------------------
t48/trunk/rtl/vhdl/cond_branch_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/COMPILE_LIST =================================================================== --- t48/trunk/COMPILE_LIST (revision 294) +++ t48/trunk/COMPILE_LIST (revision 295) @@ -1,8 +1,7 @@ Compile list for the T48 uController project ============================================ -Version: $Date: 2008-04-29 21:16:26 $ -$Name: not supported by cvs2svn $ +Version: $Id$ bench/vhdl/if_timing.vhd bench/vhdl/if_timing-c.vhd
t48/trunk/COMPILE_LIST Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/CHANGELOG =================================================================== --- t48/trunk/CHANGELOG (revision 294) +++ t48/trunk/CHANGELOG (revision 295) @@ -1,8 +1,7 @@ Change log for the T48 uController core ======================================= -Version: $Date: 2008-04-30 22:45:44 $ -$Name: not supported by cvs2svn $ +Version: $Id$ Release 1.1
t48/trunk/CHANGELOG Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/vec2dump.pl =================================================================== --- t48/trunk/sw/vec2dump.pl (revision 294) +++ t48/trunk/sw/vec2dump.pl (revision 295) @@ -4,7 +4,7 @@ # # vec2dump.pl # -# $Id: vec2dump.pl,v 1.1 2004-04-09 19:16:00 arniml Exp $ +# $Id$ # # Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) #
t48/trunk/sw/vec2dump.pl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/i8039emu/main.c =================================================================== --- t48/trunk/sw/i8039emu/main.c (revision 294) +++ t48/trunk/sw/i8039emu/main.c (revision 295) @@ -1,5 +1,5 @@ /* - * $Id: main.c,v 1.3 2004-07-03 14:37:12 arniml Exp $ + * $Id$ * * Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) *
t48/trunk/sw/i8039emu/main.c Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/i8039emu/memory.c =================================================================== --- t48/trunk/sw/i8039emu/memory.c (revision 294) +++ t48/trunk/sw/i8039emu/memory.c (revision 295) @@ -1,5 +1,5 @@ /* - * $Id: memory.c,v 1.3 2004-07-03 14:35:52 arniml Exp $ + * $Id$ * * Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) *
t48/trunk/sw/i8039emu/memory.c Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/i8039emu/i8039.c =================================================================== --- t48/trunk/sw/i8039emu/i8039.c (revision 294) +++ t48/trunk/sw/i8039emu/i8039.c (revision 295) @@ -9,7 +9,7 @@ * Adapted for the T48 uController project, 2004 by Arnim Laeuger * * See http://www.opencores.org/projects.cgi/web/t48/overview * * * - * $Id: i8039.c,v 1.7 2004-07-04 12:06:53 arniml Exp $ + * $Id$ * * * **** Change Log **** * * *
t48/trunk/sw/i8039emu/i8039.c Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/vcd2vec.pl =================================================================== --- t48/trunk/sw/vcd2vec.pl (revision 294) +++ t48/trunk/sw/vcd2vec.pl (revision 295) @@ -4,7 +4,7 @@ # # vcd2vec.pl # -# $Id: vcd2vec.pl,v 1.1 2004-04-09 19:16:00 arniml Exp $ +# $Id$ # # Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) #
t48/trunk/sw/vcd2vec.pl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/gp_sw/toggle/test.asm =================================================================== --- t48/trunk/sw/verif/gp_sw/toggle/test.asm (revision 294) +++ t48/trunk/sw/verif/gp_sw/toggle/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2004-05-02 19:15:27 arniml Exp $ + ;; $Id$ ;; ;; Toggle P1[0] ;; *******************************************************************
t48/trunk/sw/verif/gp_sw/toggle/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/clr/a/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/clr/a/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/clr/a/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CLR A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/clr/a/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/clr/c/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/clr/c/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/clr/c/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CLR C. ;; *******************************************************************
t48/trunk/sw/verif/black_box/clr/c/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/clr/f0/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/clr/f0/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/clr/f0/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CLR F0. ;; *******************************************************************
t48/trunk/sw/verif/black_box/clr/f0/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/clr/f1/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/clr/f1/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/clr/f1/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CLR F1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/clr/f1/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/cnt/cnt/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/cnt/cnt/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/cnt/cnt/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-05-16 16:25:46 arniml Exp $ + ;; $Id$ ;; ;; Test Counter. ;; *******************************************************************
t48/trunk/sw/verif/black_box/cnt/cnt/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/cnt/int/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/cnt/int/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/cnt/int/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2004-05-16 16:25:14 arniml Exp $ + ;; $Id$ ;; ;; Test Counter Interrupt. ;; *******************************************************************
t48/trunk/sw/verif/black_box/cnt/int/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/da/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/da/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/da/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-04-07 22:09:35 arniml Exp $ + ;; $Id$ ;; ;; Test DA A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/da/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/ins/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/ins/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/ins/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-10-25 19:33:13 arniml Exp $ + ;; $Id$ ;; ;; Test INS A, BUS. ;; *******************************************************************
t48/trunk/sw/verif/black_box/ins/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/int/simple_int_retr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/int/simple_int_retr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/int/simple_int_retr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test simple interrupt/RETR requences. ;; *******************************************************************
t48/trunk/sw/verif/black_box/int/simple_int_retr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/int/jni/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/int/jni/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/int/jni/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test JNI. ;; *******************************************************************
t48/trunk/sw/verif/black_box/int/jni/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/int/simple_jump_to/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/int/simple_jump_to/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/int/simple_jump_to/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test a simple jump to interrupt. ;; *******************************************************************
t48/trunk/sw/verif/black_box/int/simple_jump_to/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/dec/a/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/dec/a/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/dec/a/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test DEC A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/dec/a/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/dec/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/dec/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/dec/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test DECC Rr for RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/dec/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/orl/pp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/orl/pp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/orl/pp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test ORL Pp, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/orl/pp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/orl/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/orl/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/orl/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test ORL A, Rr with RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/orl/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/orl/a_data/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/orl/a_data/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/orl/a_data/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test ORL A, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/orl/a_data/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/orl/bus/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/orl/bus/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/orl/bus/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test ORL BUS, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/orl/bus/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/orl/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/orl/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/orl/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test ORL A, @ Rr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/orl/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jc/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jc/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jc/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test JC instruction. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/orld/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/orld/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/orld/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-07-11 23:42:19 arniml Exp $ + ;; $Id$ ;; ;; Test ORLD. ;; *******************************************************************
t48/trunk/sw/verif/black_box/orld/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jnz/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jnz/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jnz/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:37:12 arniml Exp $ + ;; $Id$ ;; ;; Test JNZ instruction. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jnz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/rb/int/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/rb/int/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/rb/int/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-04-15 22:01:51 arniml Exp $ + ;; $Id$ ;; ;; Test interrupts in conjunction with RB-switching. ;; *******************************************************************
t48/trunk/sw/verif/black_box/rb/int/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/rb/misc/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/rb/misc/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/rb/misc/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test several operations in conjunction with RB-switching. ;; *******************************************************************
t48/trunk/sw/verif/black_box/rb/misc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/rc/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/rc/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/rc/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test RR(C) A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/rc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/movd/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/movd/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/movd/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-07-11 23:13:32 arniml Exp $ + ;; $Id$ ;; ;; Test MOVD. ;; *******************************************************************
t48/trunk/sw/verif/black_box/movd/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/xrl/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/xrl/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/xrl/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test XRL A, Rr for RB0 and RB1 ;; *******************************************************************
t48/trunk/sw/verif/black_box/xrl/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/xrl/a_data/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/xrl/a_data/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/xrl/a_data/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test XRL A, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/xrl/a_data/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/xrl/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/xrl/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/xrl/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test XRL A, @ Rr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/xrl/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/expander/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/expander/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/expander/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2004-05-01 11:56:57 arniml Exp $ + ;; $Id$ ;; ;; Test Expander port functionality. ;; *******************************************************************
t48/trunk/sw/verif/black_box/expander/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/rl/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/rl/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/rl/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test RL(C) A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/rl/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/movp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/movp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/movp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test MOVP A, @ A and MOVP3 A, @ A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/movp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/xch/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/xch/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/xch/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test XCH A, Rr for RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/xch/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/xch/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/xch/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/xch/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-04-04 13:28:33 arniml Exp $ + ;; $Id$ ;; ;; Test XCH A, @ Rr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/xch/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jz/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jz/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jz/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:34:14 arniml Exp $ + ;; $Id$ ;; ;; Test JZ instruction. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/outl/pp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/outl/pp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/outl/pp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test OUTL Pp, A ;; *******************************************************************
t48/trunk/sw/verif/black_box/outl/pp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/outl/bus/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/outl/bus/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/outl/bus/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test OUTL BUS, A ;; *******************************************************************
t48/trunk/sw/verif/black_box/outl/bus/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/xchd/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/xchd/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/xchd/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-04-06 18:12:57 arniml Exp $ + ;; $Id$ ;; ;; Test XCHD A, @ Rr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/xchd/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jmp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jmp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jmp/test.asm (revision 295)
t48/trunk/sw/verif/black_box/jmp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/add/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/add/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/add/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test ADD A, Rr without carry, RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/add/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/add/a_data/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/add/a_data/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/add/a_data/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test ADD A, data without carry. ;; *******************************************************************
t48/trunk/sw/verif/black_box/add/a_data/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/add/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/add/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/add/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test ADD A, @ Rr without carry. ;; *******************************************************************
t48/trunk/sw/verif/black_box/add/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/tim/t/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/tim/t/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/tim/t/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test Timer. ;; *******************************************************************
t48/trunk/sw/verif/black_box/tim/t/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/tim/int/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/tim/int/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/tim/int/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test Timer Interrupt. ;; *******************************************************************
t48/trunk/sw/verif/black_box/tim/int/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/movx/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/movx/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/movx/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-05-17 14:42:09 arniml Exp $ + ;; $Id$ ;; ;; Test MOVX A, @ Rr for RB0. ;; *******************************************************************
t48/trunk/sw/verif/black_box/movx/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/tx/t0/ent0_clk/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/tx/t0/ent0_clk/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/tx/t0/ent0_clk/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Execute ENT0 CLK. ;; *******************************************************************
t48/trunk/sw/verif/black_box/tx/t0/ent0_clk/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/tx/t0/t0/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/tx/t0/t0/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/tx/t0/t0/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test J(N)T0 addr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/tx/t0/t0/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/tx/t1/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/tx/t1/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/tx/t1/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test J(N)T1 addr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/tx/t1/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/addc/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/addc/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/addc/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ADDC A, Rr with carry, RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/addc/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/addc/a_data/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/addc/a_data/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/addc/a_data/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ADDC A, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/addc/a_data/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/addc/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/addc/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/addc/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:33:30 arniml Exp $ + ;; $Id$ ;; ;; Test ADDC A, @ Rr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/addc/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jbb/jbb_all_0/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jbb/jbb_all_0/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jbb/jbb_all_0/test.asm (revision 295)
t48/trunk/sw/verif/black_box/jbb/jbb_all_0/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jbb/jbb_all_1/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jbb/jbb_all_1/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jbb/jbb_all_1/test.asm (revision 295)
t48/trunk/sw/verif/black_box/jbb/jbb_all_1/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jbb/jbb_55/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jbb/jbb_55/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jbb/jbb_55/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test the JBb instruction on 055H. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jbb/jbb_55/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jbb/jbb_aa/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jbb/jbb_aa/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jbb/jbb_aa/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test the JBb instruction on 0AAH. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jbb/jbb_aa/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mb/call_jmp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mb/call_jmp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mb/call_jmp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test Program Memory bank selector with CALL and JMP. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mb/call_jmp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mb/int/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mb/int/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mb/int/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.4 2004-07-03 14:35:11 arniml Exp $ + ;; $Id$ ;; ;; Test Program Memory bank selector with interrupts. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mb/int/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mov/a_rr/data_00/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mov/a_rr/data_00/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mov/a_rr/data_00/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:35:51 arniml Exp $ + ;; $Id$ ;; ;; Test MOV A, Rr for RB0 with 0x00. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mov/a_rr/data_00/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mov/a_rr/data_num/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mov/a_rr/data_num/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mov/a_rr/data_num/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:37:27 arniml Exp $ + ;; $Id$ ;; ;; Test MOV A, Rr for RB0 with 2*r. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mov/a_rr/data_num/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_00/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_00/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_00/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:37:39 arniml Exp $ + ;; $Id$ ;; ;; Test MOV Rr, A for RB0 with 0x00. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_00/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_num/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_num/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_num/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-26 22:37:46 arniml Exp $ + ;; $Id$ ;; ;; Test MOV Rr, A for RB0 with 2*r. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_num/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/mov/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/mov/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/mov/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test MOV @ Rr for RB0. ;; *******************************************************************
t48/trunk/sw/verif/black_box/mov/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/djnz/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/djnz/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/djnz/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test DJNZ Rr, addr ;; *******************************************************************
t48/trunk/sw/verif/black_box/djnz/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jmpp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jmpp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jmpp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test JMPP. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jmpp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/in/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/in/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/in/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:18 arniml Exp $ + ;; $Id$ ;; ;; Test IN A, Pp. ;; *******************************************************************
t48/trunk/sw/verif/black_box/in/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/psw/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/psw/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/psw/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-29 20:09:50 arniml Exp $ + ;; $Id$ ;; ;; Test several commands related to PSW. ;; *******************************************************************
t48/trunk/sw/verif/black_box/psw/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/inc/a/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/inc/a/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/inc/a/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test INC A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/inc/a/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/inc/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/inc/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/inc/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test INC Rr for RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/inc/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/inc/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/inc/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/inc/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:16 arniml Exp $ + ;; $Id$ ;; ;; Test INC @ Rr for RB0. ;; *******************************************************************
t48/trunk/sw/verif/black_box/inc/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/jnc/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/jnc/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/jnc/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test JNC instruction. ;; *******************************************************************
t48/trunk/sw/verif/black_box/jnc/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/anl/pp/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/anl/pp/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/anl/pp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ANL Pp, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/anl/pp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/anl/rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/anl/rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/anl/rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ANL A, Rr for RB0 and RB1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/anl/rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/anl/a_data/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/anl/a_data/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/anl/a_data/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ANL A, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/anl/a_data/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/anl/bus/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/anl/bus/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/anl/bus/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ANL BUS, data. ;; *******************************************************************
t48/trunk/sw/verif/black_box/anl/bus/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/anl/ind_rr/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/anl/ind_rr/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/anl/ind_rr/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test ANL A, @ Rr. ;; *******************************************************************
t48/trunk/sw/verif/black_box/anl/ind_rr/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/swap/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/swap/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/swap/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test SWAP A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/swap/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/call/call_ret/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/call/call_ret/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/call/call_ret/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CALL + RET(R) with simple program. ;; *******************************************************************
t48/trunk/sw/verif/black_box/call/call_ret/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/call/simple/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/call/simple/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/call/simple/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-03-29 20:09:47 arniml Exp $ + ;; $Id$ ;; ;; Test CALL addr with simple program. ;; *******************************************************************
t48/trunk/sw/verif/black_box/call/simple/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/anld/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/anld/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/anld/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2006-07-11 23:37:31 arniml Exp $ + ;; $Id$ ;; ;; Test ANLD. ;; *******************************************************************
t48/trunk/sw/verif/black_box/anld/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/cpl/a/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/cpl/a/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/cpl/a/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CPL A. ;; *******************************************************************
t48/trunk/sw/verif/black_box/cpl/a/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/cpl/c/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/cpl/c/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/cpl/c/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CPL C. ;; *******************************************************************
t48/trunk/sw/verif/black_box/cpl/c/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/cpl/f0/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/cpl/f0/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/cpl/f0/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CPL F0. ;; *******************************************************************
t48/trunk/sw/verif/black_box/cpl/f0/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/black_box/cpl/f1/test.asm =================================================================== --- t48/trunk/sw/verif/black_box/cpl/f1/test.asm (revision 294) +++ t48/trunk/sw/verif/black_box/cpl/f1/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1.1.1 2004-03-25 22:29:17 arniml Exp $ + ;; $Id$ ;; ;; Test CPL F1. ;; *******************************************************************
t48/trunk/sw/verif/black_box/cpl/f1/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/int_on_call/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/int_on_call/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/int_on_call/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2005-09-07 20:24:30 arniml Exp $ + ;; $Id$ ;; ;; Test interrupt on CALL in Program Memory Bank 1. ;; *******************************************************************
t48/trunk/sw/verif/white_box/int_on_call/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/p1_port_reg_conflict/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/p1_port_reg_conflict/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/p1_port_reg_conflict/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2004-05-17 14:34:41 arniml Exp $ + ;; $Id$ ;; ;; Test P1 conflict for reading port or output register. ;; *******************************************************************
t48/trunk/sw/verif/white_box/p1_port_reg_conflict/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/p2_port_reg_conflict/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/p2_port_reg_conflict/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/p2_port_reg_conflict/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2004-05-17 13:47:32 arniml Exp $ + ;; $Id$ ;; ;; Test P2 conflict for reading port or output register. ;; *******************************************************************
t48/trunk/sw/verif/white_box/p2_port_reg_conflict/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/p2_io_exp/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/p2_io_exp/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/p2_io_exp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2005-11-01 21:07:41 arniml Exp $ + ;; $Id$ ;; ;; *******************************************************************
t48/trunk/sw/verif/white_box/p2_io_exp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/int_on_mb1/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/int_on_mb1/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/int_on_mb1/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2005-10-31 10:05:13 arniml Exp $ + ;; $Id$ ;; ;; Test interrupt on code in Program Memory Bank 1. ;; => Bug report "Problem with INT and JMP"
t48/trunk/sw/verif/white_box/int_on_mb1/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/psen_rd_wr_timing/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/psen_rd_wr_timing/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/psen_rd_wr_timing/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.2 2004-09-12 00:28:58 arniml Exp $ + ;; $Id$ ;; ;; Test overlap of PSEN and RD/WR. ;; *******************************************************************
t48/trunk/sw/verif/white_box/psen_rd_wr_timing/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/pc_wrap_bit11/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/pc_wrap_bit11/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/pc_wrap_bit11/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2005-06-08 19:05:39 arniml Exp $ + ;; $Id$ ;; ;; Test wrap-around of Program Counter on bits 10 - 0. ;; *******************************************************************
t48/trunk/sw/verif/white_box/pc_wrap_bit11/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/verif/white_box/int_on_jmp/test.asm =================================================================== --- t48/trunk/sw/verif/white_box/int_on_jmp/test.asm (revision 294) +++ t48/trunk/sw/verif/white_box/int_on_jmp/test.asm (revision 295) @@ -1,5 +1,5 @@ ;; ******************************************************************* - ;; $Id: test.asm,v 1.1 2005-09-07 21:41:05 arniml Exp $ + ;; $Id$ ;; ;; Test interrupt on JMP in Program Memory Bank 1. ;; *******************************************************************
t48/trunk/sw/verif/white_box/int_on_jmp/test.asm Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/sw/run_regression.pl =================================================================== --- t48/trunk/sw/run_regression.pl (revision 294) +++ t48/trunk/sw/run_regression.pl (revision 295) @@ -4,7 +4,7 @@ # # run_regression.pl # -# $Id: run_regression.pl,v 1.11 2006-07-16 23:25:22 arniml Exp $ +# $Id$ # # Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) #
t48/trunk/sw/run_regression.pl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/syn/t8048/b5x300/compile_project.tcl =================================================================== --- t48/trunk/syn/t8048/b5x300/compile_project.tcl (revision 294) +++ t48/trunk/syn/t8048/b5x300/compile_project.tcl (revision 295) @@ -2,7 +2,7 @@ # # Generic project compile script # -# $Id: compile_project.tcl,v 1.1 2008-04-12 21:27:07 arniml Exp $ +# $Id$ # # Execute from within xtclsh. #
t48/trunk/syn/t8048/b5x300/compile_project.tcl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/syn/t8048/b5x300/gen_ise_project.tcl =================================================================== --- t48/trunk/syn/t8048/b5x300/gen_ise_project.tcl (revision 294) +++ t48/trunk/syn/t8048/b5x300/gen_ise_project.tcl (revision 295) @@ -1,6 +1,6 @@ ############################################################################### # -# $Id: gen_ise_project.tcl,v 1.2 2008-04-29 21:16:07 arniml Exp $ +# $Id$ # # Based on # Created by Phil Hays, Xilinx
t48/trunk/syn/t8048/b5x300/gen_ise_project.tcl Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/syn/t8048/b5x300/Makefile =================================================================== --- t48/trunk/syn/t8048/b5x300/Makefile (revision 294) +++ t48/trunk/syn/t8048/b5x300/Makefile (revision 295) @@ -2,7 +2,7 @@ # # Generic Makefile for ISE projects # -# $Id: Makefile,v 1.1 2008-04-12 21:27:07 arniml Exp $ +# $Id$ # # Execute with 'make ', where target is one of # ise : build ISE project from
t48/trunk/syn/t8048/b5x300/Makefile Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/KNOWN_BUGS =================================================================== --- t48/trunk/KNOWN_BUGS (revision 294) +++ t48/trunk/KNOWN_BUGS (revision 295) @@ -1,8 +1,7 @@ Known bugs of the T48 uController core ====================================== -Version: $Date: 2006-07-14 01:11:13 $ -$Name: not supported by cvs2svn $ +Version: $Id$ Release 0.6.1 BETA
t48/trunk/KNOWN_BUGS Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t48/trunk/README =================================================================== --- t48/trunk/README (revision 294) +++ t48/trunk/README (revision 295) @@ -1,8 +1,7 @@ README for the T48 uController project ====================================== -Version: $Date: 2008-04-30 22:32:11 $ -$Name: not supported by cvs2svn $ +Version: $Id$ Introduction
t48/trunk/README Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.