OpenCores
URL https://opencores.org/ocsvn/matrix3x3/matrix3x3/trunk

Subversion Repositories matrix3x3

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/trunk/rtl/vhdl/colorconv.vhd
62,7 → 62,7
 
signal y1w,y2w,y3w : SIGNED( (data_width+factors_width) downto 0 );
 
signal pipe_delay : STD_LOGIC_VECTOR( 10 downto 0 );
signal pipe_delay : STD_LOGIC_VECTOR( 7 downto 0 );
 
begin
 
181,11 → 181,11
pipe_delay <= (others=>'0');
elsif rising_edge(clk) then
pipe_delay(0) <= DATA_ENA;
pipe_delay(8 downto 1) <= pipe_delay(7 downto 0);
pipe_delay(7 downto 1) <= pipe_delay(6 downto 0);
end if;
end process;
 
DOUT_RDY <= pipe_delay(8);
DOUT_RDY <= pipe_delay(7);
 
 
end a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.