OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/rs232_interface/trunk/README
1,2 → 1,13
Initial Commit
SVN test 2020/09/25
 
About this project:
 
This is a small UART to uPC interface. Ideal to use with soft/hard processors in a FPGA project.
 
Change Log:
 
2010/11/21:
- Included main file.
 
2020/09/25:
- Initial Commit.
- SVN test.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.