OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 31 to Rev 32
    Reverse comparison

Rev 31 → Rev 32

/trunk/ChangeLog
1,3 → 1,9
19-09-2005 Version 0.4.6
 
Fix bug when closing method with };
Ignore quotes in comments
 
 
20-07-2005 Version 0.4.5
 
Fix bug in functions parameters
30,7 → 36,7
Fix bug with <= comparation
for loops with int type as index supported
Support hex numbers in switchs
Support sc_signals arrays
Support sc_signals arrays
Fix bug when connecting a output port to another
Solved problem in type conversions
Solved problem with enumeration list
/trunk/src/sc2v_step2.y
467,7 → 467,7
{
method_found = 0;
processlist =
InsertProcess (processlist, active_method, sensibilitylist,
InsertProcess (processlist, active_method, sensibilitylist,
active_method_type);
}
}
716,8 → 716,16
closekey_semicolon:
CLOSEKEY SEMICOLON
{
 
 
if (translate == 1)
{
if (method_found)
{
method_found = 0;
processlist =
InsertProcess (processlist, active_method, sensibilitylist,
active_method_type);
}
}
};
 
 
/trunk/src/sc2v_step2.l
94,7 → 94,7
"/*" if (!linecomment) multilinecomment = 1;
"*/" if (multilinecomment) multilinecomment = 0;
"-"
[.:~@#^!%/+*_"&""?""|""\\"]
[.:~@#^!%/+'*_"&""?""|""\\"]
 
"("[ ]*"sc_uint"[ ]*"<"[ ]*[0-9]+[ ]*">"[ ]*")"
"("[ ]*"sc_biguint"[ ]*"<"[ ]*[0-9]+[ ]*">"[ ]*")"
/trunk/README
22,7 → 22,7
Contributors:
 
David Moloney
Harald Devos
Harald Devos
 
 
/trunk/examples/rng.h
43,6 → 43,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2005/09/16 00:30:33 jcastillo
// no message
//
// Revision 1.1.1.1 2004/10/08 14:04:10 jcastillo
// First import
//
68,10 → 71,6
sc_signal < sc_uint < 43 > >LFSR_reg;
sc_signal < sc_uint < 37 > >CASR_reg;
 
void CASR ();
void LFSR ();
void combinate ();
 
SC_CTOR (rng)
{
 
87,5 → 86,9
sensitive_pos << clk;
sensitive_neg << reset;
 
}
};
void CASR ();
void LFSR ();
void combinate ();
 
};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.