OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 312 to Rev 313
    Reverse comparison

Rev 312 → Rev 313

/trunk/kernel/rtos.h
24,7 → 24,6
#ifdef WIN32
uint32 MemoryRead(uint32 Address);
void MemoryWrite(uint32 Address, uint32 Value);
#define atoi atoi2
#else
#define MemoryRead(A) (*(volatile uint32*)(A))
#define MemoryWrite(A,V) *(volatile uint32*)(A)=(V)
64,6 → 63,7
#define memcmp memcmp2
#define memset memset2
#define abs abs2
#define atoi atoi2
 
char *strcpy(char *dst, const char *src);
char *strncpy(char *dst, const char *src, int count);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.