OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 324 to Rev 325
    Reverse comparison

Rev 324 → Rev 325

/phr/trunk/codigo/cpld/test1/test1.vhd
32,14 → 32,16
 
entity test1 is
Port ( clock : in STD_LOGIC;
reset : in STD_LOGIC;
push : in STD_LOGIC;
led : out STD_LOGIC_VECTOR (7 downto 0));
sw : in STD_LOGIC_VECTOR (7 downto 0);
btn : in STD_LOGIC_VECTOR (4 downto 0);
seg : out STD_LOGIC_VECTOR (7 downto 0);
an : out STD_LOGIC_VECTOR (3 downto 0);
led : out STD_LOGIC_VECTOR (7 downto 0));
end test1;
 
architecture Behavioral of test1 is
 
signal tmp: std_logic_vector(7 downto 0);
signal tmp: std_logic_vector( downto 0);
signal Q1, Q2, Q3, Q_OUT : std_logic;
 
begin
47,7 → 49,7
count:process (clock)
begin
if (clock'event and clock='1') then
if (reset='1') then
if (btn(0)='1') then
tmp <= (others => '0');
else
tmp <= tmp + 1;
55,22 → 57,32
end if;
end process;
 
led <= tmp;
led(7 downto 4) <= tmp(7 downto 4) when sw(0) = '1' else
(others => '1');
 
debounce:process(clock)
begin
if (clock'event and clock = '1') then
if (reset = '1') then
Q1 <= '0';
Q2 <= '0';
Q3 <= '0';
else
Q1 <= push;
Q2 <= Q1;
Q3 <= Q2;
end if;
end if;
end process;
led(3 downto 0) <= not sw(3 downto 0);
invert_data:
for ii in 0 to 3 generate
begin
led(ii) <= not sw(ii);
end generate;
 
--debounce:process(clock)
--begin
-- if (clock'event and clock = '1') then
-- if (btn(0) = '1') then
-- Q1 <= '0';
-- Q2 <= '0';
-- Q3 <= '0';
-- else
-- Q1 <= btn(1);
-- Q2 <= Q1;
-- Q3 <= Q2;
-- end if;
-- end if;
--end process;
Q_OUT <= Q1 and Q2 and (not Q3);
/phr/trunk/codigo/cpld/test1/test1.jed
1,5 → 1,5
Programmer Jedec Bit Map
Date Extracted: Fri May 30 21:32:22 2014
Date Extracted: Mon Jun 2 10:19:12 2014
 
QF46656*
QP44*
43,7 → 43,7
N PPMAP 31 6*
N PPMAP 33 7*
N PPMAP 38 8*
L0000000 00000000 00000000 00000000 00000000*
L0000000 00000000 00000000 00000100 00000000*
L0000032 00000000 00000000 00000000 00000000*
L0000064 00000000 00000000 00000000 00000000*
L0000096 00000000 00000000 00000000 00000000*
74,9 → 74,9
L0000816 000000 000000 000000 000000*
L0000840 000000 000000 000000 000000*
L0000864 00000000 00000000 00000000 00000000*
L0000896 00000010 00000000 00000000 00000000*
L0000896 00000000 00000000 00000000 00000000*
L0000928 00000000 00000000 00000000 00000000*
L0000960 00000000 00000000 00000000 00000000*
L0000960 00000010 00000000 00000000 00000000*
L0000992 00000000 00000000 00000000 00000000*
L0001024 00000000 00000000 00000000 00000000*
L0001056 00000000 00000000 00000000 00000000*
168,8 → 168,8
L0003520 00000000 00000000 00000000 00000000*
L0003552 00000000 00000000 00000000 00000000*
L0003584 00000000 00000000 00000000 00000000*
L0003616 00000000 00000000 01000000 00000000*
L0003648 00000000 00000000 00100000 00000000*
L0003616 00000000 00000000 00000000 00000000*
L0003648 00000000 00000000 00000000 00000000*
L0003680 00000000 00000000 00000000 00000000*
L0003712 00000000 00000000 00000000 00000000*
L0003744 000000 000000 000000 000000*
179,17 → 179,17
L0003840 000000 000000 000000 000000*
L0003864 000000 000000 000000 000000*
L0003888 00000000 00000000 00000000 00000000*
L0003920 00000000 00000000 00000100 00000000*
L0003920 00000000 00000000 00000000 00000000*
L0003952 00000000 00000000 00000000 00000000*
L0003984 00000000 00000000 00000000 00000000*
L0004016 00000000 00000000 00000000 00000000*
L0004048 00000000 00000000 00100000 00000000*
L0004080 00000000 00000000 00011100 00000000*
L0004048 00000000 00000000 00000000 00000000*
L0004080 00000000 00000000 00000000 00000000*
L0004112 00000000 00000000 00000000 00000000*
L0004144 00000000 00000000 00000000 00000000*
L0004176 000000 000000 000000 000000*
L0004200 000000 000000 000000 000000*
L0004224 000000 000000 001010 000000*
L0004224 000000 000000 000000 000000*
L0004248 000000 000000 000000 000000*
L0004272 000000 000000 000000 000000*
L0004296 000000 000000 000000 000000*
224,14 → 224,14
L0005136 000000 000000 000000 000000*
L0005160 000000 000000 000000 000000*
L0005184 00000000 00000000 00000000 00000000*
L0005216 00000000 00000000 00000001 00000000*
L0005216 00000000 00000000 00000000 00000001*
L0005248 00000000 00000000 00000000 00000000*
L0005280 00000000 00000000 00000000 00000000*
L0005312 00000000 00000000 00000011 00000000*
L0005344 00000000 00000000 00000001 00000000*
L0005376 00000000 00000000 00000001 00000000*
L0005408 00000000 00000000 00000011 00000000*
L0005440 00000000 00000000 00000010 00000000*
L0005312 00000000 00000010 00000001 00000010*
L0005344 00000000 00000001 00000000 00000001*
L0005376 00000000 00000001 00000001 00000001*
L0005408 00000001 00000001 00000000 00000011*
L0005440 00000001 00000001 00000000 00000001*
L0005472 000000 000000 000000 000000*
L0005496 000000 000000 000000 000000*
L0005520 000000 000000 000000 000000*
254,14 → 254,14
L0006000 000000 000000 000000 000000*
L0006024 000000 000000 000000 000000*
L0006048 00000000 00000000 00000000 00000000*
L0006080 00000000 00000000 00000001 00000000*
L0006080 00000000 00000000 00000000 00000000*
L0006112 00000000 00000000 00000000 00000000*
L0006144 00000000 00000000 00000000 00000000*
L0006176 00000000 00000000 00000001 00000000*
L0006208 00000000 00000000 00100001 00000000*
L0006240 00000000 00000000 00000001 00000000*
L0006272 00000000 00000000 00000011 00000000*
L0006304 00000000 00000000 00000010 00000000*
L0006176 00000000 00000000 00000000 00000000*
L0006208 00000000 00000001 00000000 00000000*
L0006240 00000000 00000001 00000000 00000000*
L0006272 00000001 00000001 00000000 00000000*
L0006304 00000001 00000001 00000000 00000001*
L0006336 000000 000000 000000 000000*
L0006360 000000 000000 000000 000000*
L0006384 000000 000000 000000 000000*
269,17 → 269,17
L0006432 000000 000000 000000 000000*
L0006456 000000 000000 000000 000000*
L0006480 00000000 00000000 00000000 00000000*
L0006512 00000000 00000000 00000100 00000000*
L0006512 00000000 00000000 00000000 00000000*
L0006544 00000000 00000000 00000000 00000000*
L0006576 00000000 00000000 00000000 00000000*
L0006608 00000000 00000000 00000000 00000000*
L0006640 00000000 00000000 00000000 00000000*
L0006672 00000000 00000000 00111100 00000000*
L0006672 00000000 00000000 00000000 00000000*
L0006704 00000000 00000000 00000000 00000000*
L0006736 00000000 00000000 00000000 00000000*
L0006768 000000 000000 000000 000000*
L0006792 000000 000000 000000 000000*
L0006816 000000 000000 001010 000000*
L0006816 000000 000000 000000 000000*
L0006840 000000 000000 000000 000000*
L0006864 000000 000000 000000 000000*
L0006888 000000 000000 000000 000000*
377,10 → 377,10
L0009536 00000000 00000000 00000000 00000000*
L0009568 00000000 00000000 00000000 00000000*
L0009600 00000000 00000000 00000000 00000000*
L0009632 00000000 00000000 00000000 00000000*
L0009664 00000000 00000000 00000000 00000000*
L0009632 00000000 00000010 00000000 00000010*
L0009664 00000000 00000000 00000000 00000001*
L0009696 00000000 00000000 00000000 00000000*
L0009728 00000000 00000000 00000000 00000000*
L0009728 00000000 00000000 00000000 00000001*
L0009760 00000000 00000000 00000000 00000000*
L0009792 000000 000000 000000 000000*
L0009816 000000 000000 000000 000000*
434,14 → 434,14
L0011184 000000 000000 000000 000000*
L0011208 000000 000000 000000 000000*
L0011232 00000000 00000000 00000000 00000000*
L0011264 00000000 00000000 00000001 00000000*
L0011264 00000000 00000000 00000000 00000001*
L0011296 00000000 00000000 00000000 00000000*
L0011328 00000000 00000000 00000000 00000000*
L0011360 00000000 00000000 00000011 00000000*
L0011392 00000000 00000000 00000001 00000000*
L0011424 00000000 00000000 00000001 00000000*
L0011456 00000000 00000000 00000011 00000000*
L0011488 00000000 00000000 00000010 00000000*
L0011360 00000000 00000010 00000001 00000010*
L0011392 00000000 00000001 00000000 00000001*
L0011424 00000000 00000001 00000001 00000001*
L0011456 00000001 00000001 00000000 00000011*
L0011488 00000001 00000001 00000000 00000001*
L0011520 000000 000000 000000 000000*
L0011544 000000 000000 000000 000000*
L0011568 000000 000000 000000 000000*
449,17 → 449,17
L0011616 000000 000000 000000 000000*
L0011640 000000 000000 000000 000000*
L0011664 00000000 00000000 00000000 00000000*
L0011696 00000000 00000000 00000100 00000000*
L0011696 00000000 00000000 00000000 00000000*
L0011728 00000000 00000000 00000000 00000000*
L0011760 00000000 00000000 00000000 00000000*
L0011792 00000000 00000000 00000000 00000000*
L0011824 00000000 00000000 00001000 00000000*
L0011824 00000000 00000000 00000000 00000000*
L0011856 00000000 00000000 00000000 00000000*
L0011888 00000000 00000000 00000000 00000000*
L0011920 00000000 00000000 00000000 00000000*
L0011952 000000 000000 000000 000000*
L0011976 000000 000000 000000 000000*
L0012000 000000 000000 000010 000000*
L0012000 000000 000000 000000 000000*
L0012024 000000 000000 000000 000000*
L0012048 000000 000000 000000 000000*
L0012072 000000 000000 000000 000000*
468,7 → 468,7
L0012160 00000000 00000000 00000000 00000000*
L0012192 00000000 00000000 00000000 00000000*
L0012224 00000000 00000000 00000000 00000000*
L0012256 00000000 00000000 00000000 00000000*
L0012256 00000000 00000000 00000000 00010000*
L0012288 00000000 00000000 00000000 00000000*
L0012320 00000000 00000000 00000000 00000000*
L0012352 00000000 00000000 00000000 00000000*
494,14 → 494,14
L0012912 000000 000000 000000 000000*
L0012936 000000 000000 000000 000000*
L0012960 00000000 00000000 00000000 00000000*
L0012992 00000000 00000000 00000001 00000000*
L0012992 00000000 00000000 00000000 00000001*
L0013024 00000000 00000000 00000000 00000000*
L0013056 00000000 00000000 00000000 00000000*
L0013088 00000000 00000000 00000011 00000000*
L0013120 00000000 00000000 00000001 00000000*
L0013088 00000000 00000010 00000001 00000010*
L0013120 00000000 00000000 00000000 00000001*
L0013152 00000000 00000000 00000001 00000000*
L0013184 00000000 00000000 00000011 00000000*
L0013216 00000000 00000000 00000010 00000000*
L0013184 00000000 00000000 00000000 00000011*
L0013216 00000000 00000000 00000000 00000000*
L0013248 000000 000000 000000 000000*
L0013272 000000 000000 000000 000000*
L0013296 000000 000000 000000 000000*
508,7 → 508,7
L0013320 000000 000000 000000 000000*
L0013344 000000 000000 000000 000000*
L0013368 000000 000000 000000 000000*
L0013392 00000000 00000000 00000100 00000000*
L0013392 00000000 00000000 00000000 00000000*
L0013424 00000000 00000000 00000000 00000000*
L0013456 00000000 00000000 00000000 00000000*
L0013488 00000000 00000000 00000000 00000000*
524,13 → 524,13
L0013776 000000 000000 000000 000000*
L0013800 000000 000000 000000 000000*
L0013824 00000000 00000000 00000000 00000000*
L0013856 00000000 00000000 00000000 00000000*
L0013856 00000000 00000000 00000000 00000001*
L0013888 00000000 00000000 00000000 00000000*
L0013920 00000000 00000000 00000000 00000000*
L0013952 00000000 00000000 00000000 00000000*
L0013984 00000000 00000000 00000000 00000000*
L0014016 00000000 00000000 00000000 00000000*
L0014048 00000000 00000000 00000000 00000000*
L0013952 00000000 00000010 00000001 00000010*
L0013984 00000000 01000000 00000000 00000001*
L0014016 00000000 00000000 00000001 00000000*
L0014048 00000000 00000000 00000000 00000011*
L0014080 00000000 00000000 00000000 00000000*
L0014112 000000 000000 000000 000000*
L0014136 000000 000000 000000 000000*
539,29 → 539,29
L0014208 000000 000000 000000 000000*
L0014232 000000 000000 000000 000000*
L0014256 00000000 00000000 00000000 00000000*
L0014288 00000000 00000000 00000100 00000000*
L0014288 00000000 00000000 00000000 00000000*
L0014320 00000000 00000000 00000000 00000000*
L0014352 00000000 00000000 00000000 00000000*
L0014384 00000000 00000000 00000000 00000000*
L0014416 00000000 00000000 00000100 00000000*
L0014448 00000000 00000000 00011000 00000000*
L0014480 00000000 00000000 00000000 00000000*
L0014512 00000000 00000000 00000000 00000000*
L0014416 00000100 00000001 00000000 00000000*
L0014448 00000000 00000001 00000000 00000001*
L0014480 00000001 00000001 00000000 00000000*
L0014512 00000001 00000001 00000000 00000001*
L0014544 000000 000000 000000 000000*
L0014568 000000 000000 000000 000000*
L0014592 000000 000000 000010 000000*
L0014592 000000 000000 000000 000000*
L0014616 000000 000000 000000 000000*
L0014640 000000 000000 000000 000000*
L0014664 000000 000000 000000 000000*
L0014688 00000000 00000000 00000000 00000000*
L0014720 00000000 00000000 00000001 00000000*
L0014720 00000000 00000000 00000000 00000000*
L0014752 00000000 00000000 00000000 00000000*
L0014784 00000000 00000000 00000000 00000000*
L0014816 00000000 00000000 00000011 00000000*
L0014848 00000000 00000000 00000001 00000000*
L0014880 00000000 00000000 00000001 00000000*
L0014912 00000000 00000000 00000011 00000000*
L0014944 00000000 00000000 00000010 00000000*
L0014816 00000000 00000000 00000000 00000000*
L0014848 00000000 00000000 00000000 00000100*
L0014880 00000000 00000000 00000000 00000000*
L0014912 00000000 00000000 00000000 00000000*
L0014944 00000000 00000000 00000000 00000000*
L0014976 000000 000000 000000 000000*
L0015000 000000 000000 000000 000000*
L0015024 000000 000000 000000 000000*
574,11 → 574,11
L0015216 00000000 00000000 00000000 00000000*
L0015248 00000000 00000000 00000000 00000000*
L0015280 00000000 00000000 00000000 00000000*
L0015312 00000000 00000000 00000000 00000000*
L0015312 00000100 00000000 00000000 00000000*
L0015344 00000000 00000000 00000000 00000000*
L0015376 00000000 00000000 00000000 00000000*
L0015408 000000 000000 000000 000000*
L0015432 000000 000000 000000 000000*
L0015432 000001 000000 000000 000000*
L0015456 000000 000000 000000 000000*
L0015480 000000 000000 000000 000000*
L0015504 000000 000000 000000 000000*
629,14 → 629,14
L0016800 000000 000000 000000 000000*
L0016824 000000 000000 000000 000000*
L0016848 00000000 00000000 00000000 00000000*
L0016880 00000000 00000000 00000001 00000000*
L0016880 00000000 00000000 00000000 00000000*
L0016912 00000000 00000000 00000000 00000000*
L0016944 00000000 00000000 00000000 00000000*
L0016976 00000000 00000000 00000001 00000000*
L0017008 00000000 00000000 00000001 00000000*
L0017040 00000000 00000000 00000001 00000000*
L0017072 00000000 00000000 00000001 00000000*
L0017104 00000000 00000000 00000010 00000000*
L0016976 00000000 00000000 00000000 00000000*
L0017008 00000000 00000001 00000000 00000000*
L0017040 00000000 00000001 00000000 00000000*
L0017072 00000001 00000001 00000000 00000000*
L0017104 00000001 00000001 00000000 00000000*
L0017136 000000 000000 000000 000000*
L0017160 000000 000000 000000 000000*
L0017184 000000 000000 000000 000000*
704,14 → 704,14
L0018960 000000 000000 000000 000000*
L0018984 000000 000000 000000 000000*
L0019008 00000000 00000000 00000000 00000000*
L0019040 00000000 00000000 00000001 00000000*
L0019040 00000000 00000000 00000000 00000001*
L0019072 00000000 00000000 00000000 00000000*
L0019104 00000000 00000000 00000000 00000000*
L0019136 00000000 00000000 00000011 00000000*
L0019168 00000000 00000000 00000001 00000000*
L0019136 00000000 00000010 00000001 00000010*
L0019168 00000000 00000000 00000000 00000001*
L0019200 00000000 00000000 00000001 00000000*
L0019232 00000000 00000000 00000011 00000000*
L0019264 00000000 00000000 00000010 00000000*
L0019232 00000000 00000000 00000000 00000011*
L0019264 00000000 00000000 00000000 00000000*
L0019296 000000 000000 000000 000000*
L0019320 000000 000000 000000 000000*
L0019344 000000 000000 000000 000000*
719,14 → 719,14
L0019392 000000 000000 000000 000000*
L0019416 000000 000000 000000 000000*
L0019440 00000000 00000000 00000000 00000000*
L0019472 00000000 00000000 00000001 00000000*
L0019472 00000000 00000000 00000000 00000001*
L0019504 00000000 00000000 00000000 00000000*
L0019536 00000000 00000000 00000000 00000000*
L0019568 00000000 00000000 00000011 00000000*
L0019600 00000000 00000000 00000001 00000000*
L0019632 00000000 00000000 00000001 00000000*
L0019664 00000000 00000000 00000011 00000000*
L0019696 00000000 00000000 00000010 00000000*
L0019568 00000000 00000010 00000001 00000010*
L0019600 00000000 00000001 00000000 00000001*
L0019632 00000000 00000001 00000001 00000001*
L0019664 00000001 00000001 00000000 00000011*
L0019696 00000001 00000001 00000000 00000001*
L0019728 000000 000000 000000 000000*
L0019752 000000 000000 000000 000000*
L0019776 000000 000000 000000 000000*
734,16 → 734,16
L0019824 000000 000000 000000 000000*
L0019848 000000 000000 000000 000000*
L0019872 00000000 00000000 00000000 00000000*
L0019904 00000000 00000000 00000001 00000000*
L0019904 00000000 00000000 00000000 00000000*
L0019936 00000000 00000000 00000000 00000000*
L0019968 00000000 00000000 00000000 00000000*
L0020000 00000000 00000000 00000001 00000000*
L0020032 00000000 00000000 00000001 00000000*
L0020064 00000000 00000000 00000001 00000000*
L0020096 00000000 00000000 00000011 00000000*
L0020128 00000000 00000000 00000010 00000000*
L0020000 00000000 00000000 00000000 00000000*
L0020032 00000000 00000001 00000000 00000000*
L0020064 00000000 00000001 00000000 00000000*
L0020096 00000001 00000001 00000000 00000000*
L0020128 00000001 00000001 00000000 00000001*
L0020160 000000 000000 000000 000000*
L0020184 000000 000000 000000 000000*
L0020184 000000 000000 000000 000010*
L0020208 000000 000000 000000 000000*
L0020232 000000 000000 000000 000000*
L0020256 000000 000000 000000 000000*
754,12 → 754,12
L0020400 00000000 00000000 00000000 00000000*
L0020432 00000000 00000000 00000000 00000000*
L0020464 00000000 00000000 00000000 00000000*
L0020496 00000000 00000000 00000000 00000000*
L0020496 00000100 00000000 00000000 00000000*
L0020528 00000000 00000000 00000000 00000000*
L0020560 00000000 00000000 00000000 00000000*
L0020592 000000 000000 000000 000000*
L0020616 000000 000000 000000 000000*
L0020640 000000 000000 000000 000000*
L0020616 000000 000010 000000 000000*
L0020640 000001 000000 000000 000000*
L0020664 000000 000000 000000 000000*
L0020688 000000 000000 000000 000000*
L0020712 000000 000000 000000 000000*
793,37 → 793,37
L0021528 000000 000000 000000 000000*
L0021552 000000 000000 000000 000000*
L0021576 000000 000000 000000 000000*
L0021600 00000000 00000000 00000000 00000000*
L0021632 00000000 00000000 00000000 00000000*
L0021600 00000000 00000000 00000010 00000100*
L0021632 00000001 00000101 00000010 00000000*
L0021664 00000000 00000000 00000000 00000000*
L0021696 00000000 00000000 00000000 00000000*
L0021728 00000000 00000000 00000000 00000000*
L0021728 00000001 00000001 00000000 00000000*
L0021760 00000000 00000000 00000000 00000000*
L0021792 00000000 00000000 00000000 00000000*
L0021792 00000100 00000100 00000000 00000000*
L0021824 00000000 00000000 00000000 00000000*
L0021856 00000000 00000000 00000000 00000000*
L0021888 000000 000000 000000 000000*
L0021912 000000 000000 000000 000000*
L0021936 000000 000000 000000 000000*
L0021912 000000 000000 000000 000001*
L0021936 000001 000011 000000 000001*
L0021960 000000 000000 000000 000000*
L0021984 000000 000000 000000 000000*
L0022008 000000 000000 000000 000000*
L0022032 00000000 00000000 00000001 00000000*
L0022032 00000000 00000100 00000000 00000000*
L0022064 00000000 00000000 00000000 00000000*
L0022096 00000000 00000000 00000000 00000000*
L0022128 00000000 00000000 00000000 00000000*
L0022160 00000000 00000000 00000001 00000000*
L0022192 00000000 00000000 00000000 00000000*
L0022160 00000000 00000000 00000000 00000000*
L0022192 00000100 00000100 00000000 00000000*
L0022224 00000000 00000000 00000000 00000000*
L0022256 00000000 00000000 00000000 00000000*
L0022288 00000000 00000000 00000000 00000000*
L0022320 000000 000000 000000 000000*
L0022344 000000 000000 000000 000000*
L0022344 000001 000011 000000 000000*
L0022368 000000 000000 000000 000000*
L0022392 000000 000000 000000 000000*
L0022416 000000 000000 000000 000000*
L0022440 000000 000000 000000 000000*
L0022464 00000000 00000000 00000000 00000000*
L0022464 00000000 00000000 00000000 00000100*
L0022496 00000000 00000000 00000000 00000000*
L0022528 00000000 00000000 00000000 00000000*
L0022560 00000000 00000000 00000000 00000000*
834,30 → 834,30
L0022720 00000000 00000000 00000000 00000000*
L0022752 000000 000000 000000 000000*
L0022776 000000 000000 000000 000000*
L0022800 000000 000000 000000 000000*
L0022800 000000 000000 000000 000001*
L0022824 000000 000000 000000 000000*
L0022848 000000 000000 000000 000000*
L0022872 000000 000000 000000 000000*
L0022896 00000000 00000000 00000000 00000000*
L0022928 00000000 00000000 00000000 00000000*
L0022928 00000000 00000100 00000000 00000000*
L0022960 00000000 00000000 00000000 00000000*
L0022992 00000000 00000000 00000000 00000000*
L0023024 00000000 00000000 00000000 00000000*
L0023056 00000000 00000000 00000000 00000000*
L0023088 00000000 00000000 00000000 00000000*
L0023088 00000100 00000100 00000000 00000000*
L0023120 00000000 00000000 00000000 00000000*
L0023152 00000000 00000000 00000000 00000000*
L0023184 000000 000000 000000 000000*
L0023208 000000 000000 000000 000000*
L0023232 000000 000000 000000 000000*
L0023208 000000 000000 000000 000001*
L0023232 000001 000011 000000 000000*
L0023256 000000 000000 000000 000000*
L0023280 000000 000000 000000 000000*
L0023304 000000 000000 000000 000000*
L0023328 00000000 00000000 00000010 00000000*
L0023328 00000000 00000000 00000000 00000000*
L0023360 00000000 00000000 00000000 00000000*
L0023392 00000000 00000000 00000000 00000000*
L0023424 00000000 00000000 00000000 00000000*
L0023456 00000000 00000000 00000010 00000000*
L0023456 00000000 00000000 00000000 00000000*
L0023488 00000000 00000000 00000000 00000000*
L0023520 00000000 00000000 00000000 00000000*
L0023552 00000000 00000000 00000000 00000000*
868,18 → 868,18
L0023688 000000 000000 000000 000000*
L0023712 000000 000000 000000 000000*
L0023736 000000 000000 000000 000000*
L0023760 00000000 00000000 00000000 00000000*
L0023792 00000000 00000000 00000000 00000000*
L0023760 00000000 00000001 00000001 00000001*
L0023792 00000000 00000100 00000000 00000000*
L0023824 00000000 00000000 00000000 00000000*
L0023856 00000000 00000000 00000000 00000000*
L0023888 00000000 00000000 00000000 00000000*
L0023920 00000000 00000000 00000000 00000000*
L0023952 00000000 00000000 00000000 00000000*
L0023920 00000000 00000100 00000000 00000000*
L0023952 00000100 00000000 00000000 00000000*
L0023984 00000000 00000000 00000000 00000000*
L0024016 00000000 00000000 00000000 00000000*
L0024048 000000 000000 000000 000000*
L0024072 000000 000000 000000 000000*
L0024096 000000 000000 000000 000000*
L0024096 000001 000010 000000 000000*
L0024120 000000 000000 000000 000000*
L0024144 000000 000000 000000 000000*
L0024168 000000 000000 000000 000000*
898,18 → 898,18
L0024552 000000 000000 000000 000000*
L0024576 000000 000000 000000 000000*
L0024600 000000 000000 000000 000000*
L0024624 00000000 00000000 00000010 00000000*
L0024656 00000000 00000000 00000100 00000000*
L0024624 00000000 00000000 00000000 00000000*
L0024656 00000000 00000000 00000000 00000000*
L0024688 00000000 00000000 00000000 00000000*
L0024720 00000000 00000000 00000000 00000000*
L0024752 00000000 00000000 00000010 00000000*
L0024752 00000000 00000000 00000000 00000000*
L0024784 00000000 00000000 00000000 00000000*
L0024816 00000000 00000000 00011100 00000000*
L0024816 00000000 00000000 00000000 00000000*
L0024848 00000000 00000000 00000000 00000000*
L0024880 00000000 00000000 00000000 00000000*
L0024912 000000 000000 000000 000000*
L0024936 000000 000000 001000 000000*
L0024960 000000 000000 000010 000000*
L0024936 000000 000000 000000 000000*
L0024960 000000 000000 000000 000000*
L0024984 000000 000000 000000 000000*
L0025008 000000 000000 000000 000000*
L0025032 000000 000000 000000 000000*
943,11 → 943,11
L0025848 000000 000000 000000 000000*
L0025872 000000 000000 000000 000000*
L0025896 000000 000000 000000 000000*
L0025920 00000000 00000000 00000001 00000000*
L0025920 00000000 00000000 00000000 00000000*
L0025952 00000000 00000000 00000000 00000000*
L0025984 00000000 00000000 00000000 00000000*
L0026016 00000000 00000000 00000000 00000000*
L0026048 00000000 00000000 00000001 00000000*
L0026048 00000000 00000000 00000000 00000000*
L0026080 00000000 00000000 00000000 00000000*
L0026112 00000000 00000000 00000000 00000000*
L0026144 00000000 00000000 00000000 00000000*
988,11 → 988,11
L0027144 000000 000000 000000 000000*
L0027168 000000 000000 000000 000000*
L0027192 000000 000000 000000 000000*
L0027216 00000000 00000000 00000010 00000000*
L0027216 00000000 00000000 00000000 00000000*
L0027248 00000000 00000000 00000000 00000000*
L0027280 00000000 00000000 00000000 00000000*
L0027312 00000000 00000000 00000000 00000000*
L0027344 00000000 00000000 00000010 00000000*
L0027344 00000000 00000000 00000000 00000000*
L0027376 00000000 00000000 00000000 00000000*
L0027408 00000000 00000000 00000000 00000000*
L0027440 00000000 00000000 00000000 00000000*
1004,11 → 1004,11
L0027600 000000 000000 000000 000000*
L0027624 000000 000000 000000 000000*
L0027648 00000000 00000000 00000000 00000000*
L0027680 00000000 00000000 00000000 00000000*
L0027680 00000000 00000000 00000000 00000010*
L0027712 00000000 00000000 00000000 00000000*
L0027744 00000000 00000000 00000000 00000000*
L0027776 00000000 00000000 00000000 00000000*
L0027808 00000000 00000000 00000000 00000000*
L0027808 00000000 00000000 00001000 00000000*
L0027840 00000000 00000000 00000000 00000000*
L0027872 00000000 00000000 00000000 00000000*
L0027904 00000000 00000000 00000000 00000000*
1018,26 → 1018,26
L0028008 000000 000000 000000 000000*
L0028032 000000 000000 000000 000000*
L0028056 000000 000000 000000 000000*
L0028080 00000000 00000000 00000010 00000000*
L0028080 00000000 00000000 00000000 00000000*
L0028112 00000000 00000000 00000000 00000000*
L0028144 00000000 00000000 00000000 00000000*
L0028176 00000000 00000000 00000000 00000000*
L0028208 00000000 00000000 00000010 00000000*
L0028240 00000000 00000000 00000000 00000000*
L0028208 00000000 00000000 00000000 00000000*
L0028240 00000000 01000000 00000000 01000100*
L0028272 00000000 00000000 00000000 00000000*
L0028304 00000000 00000000 00000000 00000000*
L0028336 00000000 00000000 00000000 00000000*
L0028368 000000 000000 000000 000000*
L0028392 000000 000000 000000 000000*
L0028392 000000 000000 000000 000010*
L0028416 000000 000000 000000 000000*
L0028440 000000 000000 000000 000000*
L0028464 000000 000000 000000 000000*
L0028488 000000 000000 000000 000000*
L0028512 00000000 00000000 00000010 00000000*
L0028512 00000000 00000000 00000000 00000000*
L0028544 00000000 00000000 00000000 00000000*
L0028576 00000000 00000000 00000000 00000000*
L0028608 00000000 00000000 00000000 00000000*
L0028640 00000000 00000000 00000010 00000000*
L0028640 00000010 00000010 00000000 00000000*
L0028672 00000000 00000000 00000000 00000000*
L0028704 00000000 00000000 00000000 00000000*
L0028736 00000000 00000000 00000000 00000000*
1049,10 → 1049,10
L0028896 000000 000000 000000 000000*
L0028920 000000 000000 000000 000000*
L0028944 00000000 00000000 00000000 00000000*
L0028976 00000000 00000000 00000000 00000000*
L0028976 00000001 00000001 00000000 00000001*
L0029008 00000000 00000000 00000000 00000000*
L0029040 00000000 00000000 00000000 00000000*
L0029072 00000000 00000000 00000000 00000000*
L0029072 00000011 00000001 00000000 00000011*
L0029104 00000000 00000000 00000000 00000000*
L0029136 00000000 00000000 00000000 00000000*
L0029168 00000000 00000000 00000000 00000000*
1093,11 → 1093,11
L0030168 000000 000000 000000 000000*
L0030192 000000 000000 000000 000000*
L0030216 000000 000000 000000 000000*
L0030240 00000000 00000000 00000000 00000000*
L0030272 00000000 00000000 00000000 00000000*
L0030240 00000001 00000001 00000000 00000001*
L0030272 00000001 00000001 00000000 00000001*
L0030304 00000000 00000000 00000000 00000000*
L0030336 00000000 00000000 00000000 00000000*
L0030368 00000000 00000000 00000000 00000000*
L0030368 00000001 00000001 00000000 00000001*
L0030400 00000000 00000000 00000000 00000000*
L0030432 00000000 00000000 00000000 00000000*
L0030464 00000000 00000000 00000000 00000000*
1108,8 → 1108,8
L0030600 000000 000000 000000 000000*
L0030624 000000 000000 000000 000000*
L0030648 000000 000000 000000 000000*
L0030672 00000000 00000000 00000001 00000000*
L0030704 00000000 00000000 00000001 00000000*
L0030672 00000000 00000000 00000000 00000000*
L0030704 00000000 00000000 00000000 00000000*
L0030736 00000000 00000000 00000000 00000000*
L0030768 00000000 00000000 00000000 00000000*
L0030800 00000000 00000000 00000000 00000000*
1124,10 → 1124,10
L0031056 000000 000000 000000 000000*
L0031080 000000 000000 000000 000000*
L0031104 00000000 00000000 00000000 00000000*
L0031136 00000000 00000000 00000000 00000000*
L0031136 00000001 00000001 00000000 00000001*
L0031168 00000000 00000000 00000000 00000000*
L0031200 00000000 00000000 00000000 00000000*
L0031232 00000000 00000000 00000000 00000000*
L0031232 00000001 00000001 00000000 00000000*
L0031264 00000000 00000000 00000000 00000000*
L0031296 00000000 00000000 00000000 00000000*
L0031328 00000000 00000000 00000000 00000000*
1139,10 → 1139,10
L0031488 000000 000000 000000 000000*
L0031512 000000 000000 000000 000000*
L0031536 00000000 00000000 00000000 00000000*
L0031568 00000000 00000000 00000000 00000000*
L0031568 00000010 00000010 00000000 00000010*
L0031600 00000000 00000000 00000000 00000000*
L0031632 00000000 00000000 00000000 00000000*
L0031664 00000000 00000000 00000000 00000000*
L0031664 00000010 00000010 00000000 00000010*
L0031696 00000000 00000000 00000000 00000000*
L0031728 00000000 00000000 00000000 00000000*
L0031760 00000000 00000000 00000000 00000000*
1153,11 → 1153,11
L0031896 000000 000000 000000 000000*
L0031920 000000 000000 000000 000000*
L0031944 000000 000000 000000 000000*
L0031968 00000000 00000000 00000001 00000000*
L0031968 00000000 00000000 00000000 00000000*
L0032000 00000000 00000000 00000000 00000000*
L0032032 00000000 00000000 00000000 00000000*
L0032064 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000001 00000000*
L0032096 00000000 00000000 00000000 00000000*
L0032128 00000000 00000000 00000000 00000000*
L0032160 00000000 00000000 00000000 00000000*
L0032192 00000000 00000000 00000000 00000000*
1169,25 → 1169,25
L0032352 000000 000000 000000 000000*
L0032376 000000 000000 000000 000000*
L0032400 00000000 00000000 00000000 00000000*
L0032432 00000000 00000000 00000100 00000000*
L0032464 00000000 00000000 00000000 00000000*
L0032432 00000000 00000000 00000000 00000000*
L0032464 00000010 00000010 00000000 00000010*
L0032496 00000000 00000000 00000000 00000000*
L0032528 00000000 00000000 00000000 00000000*
L0032560 00000000 00000000 00010000 00000000*
L0032592 00000000 00000000 00001000 00000000*
L0032560 00000000 00000000 00000000 00000000*
L0032592 00000000 00000000 00000000 00000000*
L0032624 00000000 00000000 00000000 00000000*
L0032656 00000000 00000000 00000000 00000000*
L0032688 000000 000000 000000 000000*
L0032712 000000 000000 000000 000000*
L0032736 000000 000000 000010 000000*
L0032736 000000 000000 000000 000000*
L0032760 000000 000000 000000 000000*
L0032784 000000 000000 000000 000000*
L0032808 000000 000000 000000 000000*
L0032832 00000000 00000000 00000000 00000000*
L0032864 00000000 00000000 00000000 00000000*
L0032832 00000010 00000010 00000000 00000010*
L0032864 00000010 00000010 00000000 00000010*
L0032896 00000000 00000000 00000000 00000000*
L0032928 00000000 00000000 00000000 00000000*
L0032960 00000000 00000000 00000000 00000000*
L0032960 00000010 00000010 00000000 00000010*
L0032992 00000000 00000000 00000000 00000000*
L0033024 00000000 00000000 00000000 00000000*
L0033056 00000000 00000000 00000000 00000000*
1213,7 → 1213,7
L0033624 000000 000000 000000 000000*
L0033648 000000 000000 000000 000000*
L0033672 000000 000000 000000 000000*
L0033696 00000000 00000000 00000010 00000000*
L0033696 00000010 00000010 00000010 00000010*
L0033728 00000000 00000000 00000000 00000000*
L0033760 00000000 00000000 00000000 00000000*
L0033792 00000000 00000000 00000000 00000000*
1368,7 → 1368,7
L0038080 00000000 00000000 00000000 00000000*
L0038112 00000000 00000000 00000000 00000000*
L0038144 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000000 01000000*
L0038208 00000000 00000000 00000000 00000000*
L0038240 00000000 00000000 00000000 00000000*
L0038272 00000000 00000000 00000000 00000000*
1378,18 → 1378,18
L0038376 000000 000000 000000 000000*
L0038400 000000 000000 000000 000000*
L0038424 000000 000000 000000 000000*
L0038448 00000000 00000000 00000000 00000000*
L0038448 00000000 00000100 00000000 00000000*
L0038480 00000000 00000000 00000000 00000000*
L0038512 00000000 00000000 00000000 00000000*
L0038544 00000000 00000000 00000000 00000000*
L0038576 00000000 00000000 00000000 00000000*
L0038608 00000000 00000000 00000000 00000000*
L0038640 00000000 00000000 00000000 00000000*
L0038640 00000100 00000000 00000000 00000000*
L0038672 00000000 00000000 00000000 00000000*
L0038704 00000000 00000000 00000000 00000000*
L0038736 000000 000000 000000 000000*
L0038760 000000 000000 000000 000000*
L0038784 000000 000000 000000 000000*
L0038784 000001 000010 000000 000000*
L0038808 000000 000000 000000 000000*
L0038832 000000 000000 000000 000000*
L0038856 000000 000000 000000 000000*
1463,52 → 1463,52
L0040832 00000000 00000000 00000000 00000000*
L0040864 00000000 00000000 00000000 00000000*
L0040896 000000 000000 000000 000000*
L0040920 000000 000000 000000 000000*
L0040920 000000 000000 000000 000001*
L0040944 000000 000000 000000 000000*
L0040968 000000 000000 000000 000000*
L0040992 000000 000000 000000 000000*
L0041016 000000 000000 000000 000000*
L0041040 00000000 00000000 00000000 00000000*
L0041072 00000000 00000000 00000000 00000000*
L0041072 00000000 00000100 00000000 00000000*
L0041104 00000000 00000000 00000000 00000000*
L0041136 00000000 00000000 00000000 00000000*
L0041168 00000000 00000000 00000000 00000000*
L0041200 00000000 00000000 00000000 00000000*
L0041232 00000000 00000000 00000000 00000000*
L0041232 00000100 00000100 00000000 00000000*
L0041264 00000000 00000000 00000000 00000000*
L0041296 00000000 00000000 00000000 00000000*
L0041328 000000 000000 000000 000000*
L0041352 000000 000000 000000 000000*
L0041376 000000 000000 000000 000000*
L0041376 000001 000011 000000 000001*
L0041400 000000 000000 000000 000000*
L0041424 000000 000000 000000 000000*
L0041448 000000 000000 000000 000000*
L0041472 00000000 00000000 00000000 00000000*
L0041504 00000000 00000000 00000100 00000000*
L0041504 00000000 00000000 00000000 00000000*
L0041536 00000000 00000000 00000000 00000000*
L0041568 00000000 00000000 00000000 00000000*
L0041600 00000000 00000000 00000000 00000000*
L0041632 00000000 00000000 01100000 00000000*
L0041664 00000000 00000000 00111100 00000000*
L0041632 00000000 00000000 00000000 00000000*
L0041664 00000000 00000000 00000000 00000000*
L0041696 00000000 00000000 00000000 00000000*
L0041728 00000000 00000000 00000000 00000000*
L0041760 000000 000000 000000 000000*
L0041784 000000 000000 000000 000000*
L0041808 000000 000000 001010 000000*
L0041808 000000 000000 000000 000000*
L0041832 000000 000000 000000 000000*
L0041856 000000 000000 000000 000000*
L0041880 000000 000000 000000 000000*
L0041904 00000000 00000000 00000100 00000000*
L0041904 00000000 00000000 00000000 00000000*
L0041936 00000000 00000000 00000000 00000000*
L0041968 00000000 00000000 00000000 00000000*
L0042000 00000000 00000000 00000000 00000000*
L0042032 00000000 00000000 00000000 00000000*
L0042064 00000000 00000000 00011100 00000000*
L0042064 00000000 00000000 00000000 00000000*
L0042096 00000000 00000000 00000000 00000000*
L0042128 00000000 00000000 00000000 00000000*
L0042160 00000000 00000000 00000000 00000000*
L0042192 000000 000000 000000 000000*
L0042216 000000 000000 001010 000000*
L0042216 000000 000000 000000 000000*
L0042240 000000 000000 000000 000000*
L0042264 000000 000000 000000 000000*
L0042288 000000 000000 000000 000000*
1518,7 → 1518,7
L0042400 00000000 00000000 00000000 00000000*
L0042432 00000000 00000000 00000000 00000000*
L0042464 00000000 00000000 00000000 00000000*
L0042496 00000000 00000000 00000000 00000000*
L0042496 00000000 00000000 00000000 00100000*
L0042528 00000000 00000000 00000000 00000000*
L0042560 00000000 00000000 00000000 00000000*
L0042592 00000000 00000000 00000000 00000000*
1529,17 → 1529,17
L0042720 000000 000000 000000 000000*
L0042744 000000 000000 000000 000000*
L0042768 00000000 00000000 00000000 00000000*
L0042800 00000000 00000000 00000000 00000000*
L0042800 00000000 00000100 00000000 00000000*
L0042832 00000000 00000000 00000000 00000000*
L0042864 00000000 00000000 00000000 00000000*
L0042896 00000000 00000000 00000000 00000000*
L0042928 00000000 00000000 00000000 00000000*
L0042960 00000000 00000000 00000000 00000000*
L0042960 00000100 00000100 00000000 00000000*
L0042992 00000000 00000000 00000000 00000000*
L0043024 00000000 00000000 00000000 00000000*
L0043056 000000 000000 000000 000000*
L0043080 000000 000000 000000 000000*
L0043104 000000 000000 000000 000000*
L0043080 000000 000001 000000 000000*
L0043104 000001 000010 000000 000000*
L0043128 000000 000000 000000 000000*
L0043152 000000 000000 000000 000000*
L0043176 000000 000000 000000 000000*
1589,7 → 1589,7
L0044448 000000 000000 000000 000000*
L0044472 000000 000000 000000 000000*
L0044496 00000000 00000000 00000000 00000000*
L0044528 00000000 00000000 00000100 00000000*
L0044528 00000000 00000000 00000000 00000000*
L0044560 00000000 00000000 00000000 00000000*
L0044592 00000000 00000000 00000000 00000000*
L0044624 00000000 00000000 00000000 00000000*
1598,7 → 1598,7
L0044720 00000000 00000000 00000000 00000000*
L0044752 00000000 00000000 00000000 00000000*
L0044784 000000 000000 000000 000000*
L0044808 000000 000000 000010 000000*
L0044808 000000 000000 000000 000000*
L0044832 000000 000000 000000 000000*
L0044856 000000 000000 000000 000000*
L0044880 000000 000000 000000 000000*
1663,5 → 1663,5
L0046584 000000 000000 000000 000000*
L0046608 000000 000000 000000 000000*
L0046632 000000 000000 000000 000000*
C2DDD*
C3AB
C530C*
C3DD
/phr/trunk/codigo/cpld/test1/test1.ucf
1,12 → 1,50
NET clock LOC=P43;
NET reset LOC=P44;
NET push LOC=P42;
NET led<0> LOC=P6;
NET led<1> LOC=P7;
NET led<2> LOC=P8;
NET led<3> LOC=P16;
NET led<4> LOC=P12;
NET led<5> LOC=P13;
NET led<6> LOC=P14;
NET led<7> LOC=P18;
NET clock LOC=P1;
 
# --- LEDS---
NET led<0> LOC=P13;
NET led<1> LOC=P18;
NET led<2> LOC=P22;
NET led<3> LOC=P21;
NET led<4> LOC=P20;
NET led<5> LOC=P27;
NET led<6> LOC=P28;
NET led<7> LOC=P30;
# --- PUSH BOTTON ---
NET btn<0> LOC=P7;
NET btn<1> LOC=P6;
NET btn<2> LOC=P16;
NET btn<3> LOC=P8;
NET btn<4> LOC=P29;
# --- SWITCHES---
NET sw<0> LOC=P32;
NET sw<1> LOC=P40;
NET sw<2> LOC=P38;
NET sw<3> LOC=P34;
NET sw<4> LOC=P41;
NET sw<5> LOC=P43;
NET sw<6> LOC=P2;
NET sw<7> LOC=P5;
# --- DISPLAY ---
NET seg<0> LOC=P31; #Seg A
NET seg<1> LOC=P33; #Seg B
NET seg<2> LOC=P39; #Seg C
NET seg<3> LOC=P37; #Seg D
NET seg<4> LOC=P36; #Seg E
NET seg<5> LOC=P42; #Seg F
NET seg<6> LOC=P44; #Seg G
NET seg<7> LOC=P3; #Seg DP
NET an<0> LOC=P12;
NET an<1> LOC=P14;
NET an<2> LOC=P19;
NET an<3> LOC=P23;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.