OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 325 to Rev 326
    Reverse comparison

Rev 325 → Rev 326

/phr/trunk/codigo/cpld/test1/test1.vhd
31,7 → 31,7
--use UNISIM.VComponents.all;
 
entity test1 is
Port ( clock : in STD_LOGIC;
Port ( clock : in STD_LOGIC; -- 730Hz
sw : in STD_LOGIC_VECTOR (7 downto 0);
btn : in STD_LOGIC_VECTOR (4 downto 0);
seg : out STD_LOGIC_VECTOR (7 downto 0);
41,50 → 41,72
 
architecture Behavioral of test1 is
 
signal tmp: std_logic_vector( downto 0);
signal Q1, Q2, Q3, Q_OUT : std_logic;
signal tmp: std_logic_vector(11 downto 8);
signal tmptx: std_logic_vector(1 downto 0);
signal Suni, Sdec, Scen, Sumil, Sdig2seg, cntr: std_logic_vector(3 downto 0) := "0000";
signal Sseg: std_logic_vector(6 downto 0);
signal pulso_p, reset: std_logic;
signal clkdiv : std_logic;
signal Sled : std_logic_vector (7 downto 0) :=(others=>'0');
 
component contador port (
reset, pulso_p : in std_logic;
unidad_p,decena_p,centena_p, u_mil_p : out std_logic_vector(3 downto 0));
end component contador;
 
 
begin
 
count:process (clock)
begin
if (clock'event and clock='1') then
if (btn(0)='1') then
tmp <= (others => '0');
else
tmp <= tmp + 1;
end if;
------------------- INST ------------------------
 
U1 : contador port map (reset => btn(0),
pulso_p => clkdiv ,
unidad_p => Suni,
decena_p => Sdec,
centena_p => Scen,
u_mil_p => Sumil);
 
------------------- DIV ------------------------
div: process(clock)
variable tmp : std_logic_vector(10 downto 0):=(others=>'0');
begin
if(clock'event and clock='1') then
if(btn(0)='1') then
tmp := (others=>'0');
else
tmp := tmp + 1 ;
end if;
end process;
end if;
clkdiv <= tmp(8);
end process;
 
led(7 downto 4) <= tmp(7 downto 4) when sw(0) = '1' else
(others => '1');
cntr <= Suni;
 
led(3 downto 0) <= not sw(3 downto 0);
invert_data:
for ii in 0 to 3 generate
begin
led(ii) <= not sw(ii);
end generate;
Sseg <= "0111111" when cntr = "0000" else
"0000110" when cntr = "0001" else
"1011011" when cntr = "0010" else
"1001111" when cntr = "0011" else
"1100110" when cntr = "0100" else
"1101101" when cntr = "0101" else
"1111101" when cntr = "0110" else
"0000111" when cntr = "0111" else
"1111111" when cntr = "1000" else
"1101111" when cntr = "1001" else
"0000000";
 
--debounce:process(clock)
--begin
-- if (clock'event and clock = '1') then
-- if (btn(0) = '1') then
-- Q1 <= '0';
-- Q2 <= '0';
-- Q3 <= '0';
-- else
-- Q1 <= btn(1);
-- Q2 <= Q1;
-- Q3 <= Q2;
-- end if;
-- end if;
--end process;
Q_OUT <= Q1 and Q2 and (not Q3);
seg(6 downto 0) <= not Sseg;
an <= "0001";
 
shift:process(clock)
begin
if (clock'event and clock='1') then
if(clkdiv = '1') then
Sled <= (not Sled(6 downto 0)) & clkdiv;
end if;
end if;
end process;
 
led <= sled;
 
end Behavioral;
 
/phr/trunk/codigo/cpld/test1/test1.jed
1,5 → 1,5
Programmer Jedec Bit Map
Date Extracted: Mon Jun 2 10:19:12 2014
Date Extracted: Mon Jun 2 22:26:10 2014
 
QF46656*
QP44*
43,7 → 43,7
N PPMAP 31 6*
N PPMAP 33 7*
N PPMAP 38 8*
L0000000 00000000 00000000 00000100 00000000*
L0000000 00000000 00000000 00000000 00000000*
L0000032 00000000 00000000 00000000 00000000*
L0000064 00000000 00000000 00000000 00000000*
L0000096 00000000 00000000 00000000 00000000*
148,18 → 148,18
L0002952 000000 000000 000000 000000*
L0002976 000000 000000 000000 000000*
L0003000 000000 000000 000000 000000*
L0003024 00000010 00000000 00000000 00000000*
L0003024 00000010 01000000 00000000 00000000*
L0003056 00000011 00000000 00000000 00000000*
L0003088 00000000 00000000 00000000 00000000*
L0003120 00000011 00000000 00000000 00000000*
L0003152 00000010 00000000 00000000 00000000*
L0003184 00000011 00000000 00000000 00000000*
L0003216 00000010 00000000 00000000 00000000*
L0003216 00000110 00000000 00000000 00000000*
L0003248 00000000 00000000 00000000 00000000*
L0003280 00000000 00000000 00000000 00000000*
L0003312 000000 000000 000000 000000*
L0003336 000000 000000 000000 000000*
L0003360 000000 000000 000000 000000*
L0003360 000001 000000 000000 000001*
L0003384 000000 000000 000000 000000*
L0003408 000000 000000 000000 000000*
L0003432 000000 000000 000000 000000*
173,7 → 173,7
L0003680 00000000 00000000 00000000 00000000*
L0003712 00000000 00000000 00000000 00000000*
L0003744 000000 000000 000000 000000*
L0003768 000000 000000 000000 000000*
L0003768 000000 000000 000000 000010*
L0003792 000000 000000 000000 000000*
L0003816 000000 000000 000000 000000*
L0003840 000000 000000 000000 000000*
223,15 → 223,15
L0005112 000000 000000 000000 000000*
L0005136 000000 000000 000000 000000*
L0005160 000000 000000 000000 000000*
L0005184 00000000 00000000 00000000 00000000*
L0005216 00000000 00000000 00000000 00000001*
L0005248 00000000 00000000 00000000 00000000*
L0005280 00000000 00000000 00000000 00000000*
L0005312 00000000 00000010 00000001 00000010*
L0005344 00000000 00000001 00000000 00000001*
L0005376 00000000 00000001 00000001 00000001*
L0005408 00000001 00000001 00000000 00000011*
L0005440 00000001 00000001 00000000 00000001*
L0005184 00000000 00000001 00000000 00000000*
L0005216 00000011 00000001 00000000 00000001*
L0005248 00000000 00000011 00000000 00000000*
L0005280 00000000 00000011 00000000 00000000*
L0005312 00000000 00000011 00000000 00000010*
L0005344 00000000 00000011 00000000 00000001*
L0005376 00000000 00000011 00000001 00000000*
L0005408 00000011 00000011 00000000 00000011*
L0005440 00000001 00000011 00000000 00000001*
L0005472 000000 000000 000000 000000*
L0005496 000000 000000 000000 000000*
L0005520 000000 000000 000000 000000*
241,12 → 241,12
L0005616 00000000 00000000 00000000 00000000*
L0005648 00000000 00000000 00000000 00000000*
L0005680 00000000 00000000 00000000 00000000*
L0005712 00000000 00000000 00000000 00000000*
L0005712 00000000 00000001 00000000 00000000*
L0005744 00000000 00000000 00000000 00000000*
L0005776 00000000 00000000 00000000 00000000*
L0005808 00000000 00000000 00000000 00000000*
L0005840 00000000 00000000 00000000 00000000*
L0005872 00000000 00000000 00000000 00000000*
L0005808 00000000 00000001 00000000 00000000*
L0005840 00000000 00000001 00000000 00000000*
L0005872 00000000 00000001 00000000 00000000*
L0005904 000000 000000 000000 000000*
L0005928 000000 000000 000000 000000*
L0005952 000000 000000 000000 000000*
253,15 → 253,15
L0005976 000000 000000 000000 000000*
L0006000 000000 000000 000000 000000*
L0006024 000000 000000 000000 000000*
L0006048 00000000 00000000 00000000 00000000*
L0006080 00000000 00000000 00000000 00000000*
L0006112 00000000 00000000 00000000 00000000*
L0006144 00000000 00000000 00000000 00000000*
L0006176 00000000 00000000 00000000 00000000*
L0006208 00000000 00000001 00000000 00000000*
L0006240 00000000 00000001 00000000 00000000*
L0006272 00000001 00000001 00000000 00000000*
L0006304 00000001 00000001 00000000 00000001*
L0006048 00000000 00000001 00000000 00000000*
L0006080 00000011 00000001 00000000 00000000*
L0006112 00000000 00000001 00000000 00000000*
L0006144 00000000 00000010 00000000 00000000*
L0006176 00000000 00000001 00000000 00000000*
L0006208 00000000 00000011 00000000 00000000*
L0006240 00000000 00000011 00000000 00000000*
L0006272 00000011 00000011 00000000 00000000*
L0006304 00000001 00000011 00000000 00000001*
L0006336 000000 000000 000000 000000*
L0006360 000000 000000 000000 000000*
L0006384 000000 000000 000000 000000*
269,29 → 269,29
L0006432 000000 000000 000000 000000*
L0006456 000000 000000 000000 000000*
L0006480 00000000 00000000 00000000 00000000*
L0006512 00000000 00000000 00000000 00000000*
L0006512 00000000 01100000 00000000 00000000*
L0006544 00000000 00000000 00000000 00000000*
L0006576 00000000 00000000 00000000 00000000*
L0006608 00000000 00000000 00000000 00000000*
L0006640 00000000 00000000 00000000 00000000*
L0006672 00000000 00000000 00000000 00000000*
L0006640 00000000 00100000 00000000 00000000*
L0006672 00000100 00000000 00000000 00000000*
L0006704 00000000 00000000 00000000 00000000*
L0006736 00000000 00000000 00000000 00000000*
L0006768 000000 000000 000000 000000*
L0006792 000000 000000 000000 000000*
L0006816 000000 000000 000000 000000*
L0006816 000001 000000 000000 000001*
L0006840 000000 000000 000000 000000*
L0006864 000000 000000 000000 000000*
L0006888 000000 000000 000000 000000*
L0006912 00000000 00000000 00000000 00000000*
L0006944 00000000 00000000 00000000 00000000*
L0006944 00000011 00000000 00000000 00000000*
L0006976 00000000 00000000 00000000 00000000*
L0007008 00000000 00000000 00000000 00000000*
L0007008 00000000 00000001 00000000 00000000*
L0007040 00000000 00000000 00000000 00000000*
L0007072 00000000 00000000 00000000 00000000*
L0007104 00000000 00000000 00000000 00000000*
L0007136 00000000 00000000 00000000 00000000*
L0007168 00000000 00000000 00000000 00000000*
L0007072 00000000 00000011 00000000 00000000*
L0007104 00000000 00000001 00000000 00000000*
L0007136 00000010 00000001 00000000 00000000*
L0007168 00000000 00000011 00000000 00000000*
L0007200 000000 000000 000000 000000*
L0007224 000000 000000 000000 000000*
L0007248 000000 000000 000000 000000*
301,12 → 301,12
L0007344 00000000 00000000 00000000 00000000*
L0007376 00000000 00000000 00000000 00000000*
L0007408 00000000 00000000 00000000 00000000*
L0007440 00000000 00000000 00000000 00000000*
L0007440 00000000 00000001 00000000 00000000*
L0007472 00000000 00000000 00000000 00000000*
L0007504 00000000 00000000 00000000 00000000*
L0007536 00000000 00000000 00000000 00000000*
L0007568 00000000 00000000 00000000 00000000*
L0007600 00000000 00000000 00000000 00000000*
L0007536 00000000 00000001 00000000 00000000*
L0007568 00000000 00000001 00000000 00000000*
L0007600 00000000 00000001 00000000 00000000*
L0007632 000000 000000 000000 000000*
L0007656 000000 000000 000000 000000*
L0007680 000000 000000 000000 000000*
314,14 → 314,14
L0007728 000000 000000 000000 000000*
L0007752 000000 000000 000000 000000*
L0007776 00000000 00000000 00000000 00000000*
L0007808 00000000 00000000 00000000 00000000*
L0007808 00000001 00000000 00000000 00000001*
L0007840 00000000 00000000 00000000 00000000*
L0007872 00000000 00000000 00000000 00000000*
L0007904 00000000 00000000 00000000 00000000*
L0007936 00000000 00000000 00000000 00000000*
L0007968 00000000 00000000 00000000 00000000*
L0008000 00000000 00000000 00000000 00000000*
L0008032 00000000 00000000 00000000 00000000*
L0007904 00000000 00000010 00000001 00000010*
L0007936 00000000 00000011 00000000 00000001*
L0007968 00000000 00000000 00000001 00000000*
L0008000 00000010 00000000 00000000 00000011*
L0008032 00000000 00000010 00000000 00000000*
L0008064 000000 000000 000000 000000*
L0008088 000000 000000 000000 000000*
L0008112 000000 000000 000000 000000*
328,18 → 328,18
L0008136 000000 000000 000000 000000*
L0008160 000000 000000 000000 000000*
L0008184 000000 000000 000000 000000*
L0008208 00000000 00000000 00000000 00000000*
L0008240 00000000 00000000 00000000 00000000*
L0008208 00000000 00010000 00000000 00000000*
L0008240 00000000 01100000 00000000 00000001*
L0008272 00000000 00000000 00000000 00000000*
L0008304 00000000 00000000 00000000 00000000*
L0008336 00000000 00000000 00000000 00000000*
L0008368 00000000 00000000 00000000 00000000*
L0008400 00000000 00000000 00000000 00000000*
L0008432 00000000 00000000 00000000 00000000*
L0008336 00000000 00000010 00000001 00000010*
L0008368 00000000 00000000 00000000 00000001*
L0008400 00000100 00100000 00000001 00000000*
L0008432 00000000 00000000 00000000 00000011*
L0008464 00000000 00000000 00000000 00000000*
L0008496 000000 000000 000000 000000*
L0008520 000000 000000 000000 000000*
L0008544 000000 000000 000000 000000*
L0008544 000001 000000 000000 000001*
L0008568 000000 000000 000000 000000*
L0008592 000000 000000 000000 000000*
L0008616 000000 000000 000000 000000*
348,7 → 348,7
L0008704 00000000 00000000 00000000 00000000*
L0008736 00000000 00000000 00000000 00000000*
L0008768 00000000 00000000 00000000 00000000*
L0008800 00000000 00000000 00000000 00000000*
L0008800 00000000 00010001 00000000 00000000*
L0008832 00000000 00000000 00000000 00000000*
L0008864 00000000 00000000 00000000 00000000*
L0008896 00000000 00000000 00000000 00000000*
359,28 → 359,28
L0009024 000000 000000 000000 000000*
L0009048 000000 000000 000000 000000*
L0009072 00000000 00000000 00000000 00000000*
L0009104 00000000 00000000 00000000 00000000*
L0009104 00000000 01110000 00000000 00000000*
L0009136 00000000 00000000 00000000 00000000*
L0009168 00000000 00000000 00000000 00000000*
L0009200 00000000 00000000 00000000 00000000*
L0009232 00000000 00000000 00000000 00000000*
L0009264 00000000 00000000 00000000 00000000*
L0009264 00000100 00110000 00000000 00000000*
L0009296 00000000 00000000 00000000 00000000*
L0009328 00000000 00000000 00000000 00000000*
L0009360 000000 000000 000000 000000*
L0009384 000000 000000 000000 000000*
L0009408 000000 000000 000000 000000*
L0009408 000001 000000 000000 000001*
L0009432 000000 000000 000000 000000*
L0009456 000000 000000 000000 000000*
L0009480 000000 000000 000000 000000*
L0009504 00000000 00000000 00000000 00000000*
L0009536 00000000 00000000 00000000 00000000*
L0009536 00000000 00000000 00000001 00000000*
L0009568 00000000 00000000 00000000 00000000*
L0009600 00000000 00000000 00000000 00000000*
L0009632 00000000 00000010 00000000 00000010*
L0009664 00000000 00000000 00000000 00000001*
L0009600 00000000 00000001 00000000 00000000*
L0009632 00000000 00000001 00000001 00000000*
L0009664 00000000 00000000 00000000 00000000*
L0009696 00000000 00000000 00000000 00000000*
L0009728 00000000 00000000 00000000 00000001*
L0009728 00000000 00000000 00000000 00000000*
L0009760 00000000 00000000 00000000 00000000*
L0009792 000000 000000 000000 000000*
L0009816 000000 000000 000000 000000*
390,17 → 390,17
L0009912 000000 000000 000000 000000*
L0009936 00000000 00000000 00000000 00000000*
L0009968 00000000 00000000 00000000 00000000*
L0010000 00000000 00000000 00000000 00000000*
L0010000 00000000 00001100 00000000 00000000*
L0010032 00000000 00000000 00000000 00000000*
L0010064 00000000 00000000 00000000 00000000*
L0010096 00000000 00000000 00000000 00000000*
L0010128 00000000 00000000 00000000 00000000*
L0010160 00000000 00000000 00000000 00000000*
L0010160 00000000 00000100 00000000 00000000*
L0010192 00000000 00000000 00000000 00000000*
L0010224 000000 000000 000000 000000*
L0010248 000000 000000 000000 000000*
L0010272 000000 000000 000000 000000*
L0010296 000000 000000 000000 000000*
L0010296 000000 000001 000000 000000*
L0010320 000000 000000 000000 000000*
L0010344 000000 000000 000000 000000*
L0010368 00000000 00000000 00000000 00000000*
433,15 → 433,15
L0011160 000000 000000 000000 000000*
L0011184 000000 000000 000000 000000*
L0011208 000000 000000 000000 000000*
L0011232 00000000 00000000 00000000 00000000*
L0011264 00000000 00000000 00000000 00000001*
L0011296 00000000 00000000 00000000 00000000*
L0011328 00000000 00000000 00000000 00000000*
L0011360 00000000 00000010 00000001 00000010*
L0011392 00000000 00000001 00000000 00000001*
L0011424 00000000 00000001 00000001 00000001*
L0011456 00000001 00000001 00000000 00000011*
L0011488 00000001 00000001 00000000 00000001*
L0011232 00000000 00000001 00000000 00000000*
L0011264 00000011 00000001 00000001 00000011*
L0011296 00000000 00000011 00000000 00000000*
L0011328 00000000 00000011 00000000 00000000*
L0011360 00000000 00000011 00000001 00000011*
L0011392 00000000 00000011 00000001 00000001*
L0011424 00000000 00000011 00000001 00000000*
L0011456 00000011 00000011 00000000 00000011*
L0011488 00000001 00000011 00000000 00000001*
L0011520 000000 000000 000000 000000*
L0011544 000000 000000 000000 000000*
L0011568 000000 000000 000000 000000*
468,7 → 468,7
L0012160 00000000 00000000 00000000 00000000*
L0012192 00000000 00000000 00000000 00000000*
L0012224 00000000 00000000 00000000 00000000*
L0012256 00000000 00000000 00000000 00010000*
L0012256 00000000 00000000 00000000 00000000*
L0012288 00000000 00000000 00000000 00000000*
L0012320 00000000 00000000 00000000 00000000*
L0012352 00000000 00000000 00000000 00000000*
494,94 → 494,94
L0012912 000000 000000 000000 000000*
L0012936 000000 000000 000000 000000*
L0012960 00000000 00000000 00000000 00000000*
L0012992 00000000 00000000 00000000 00000001*
L0012992 00000011 00000000 00000001 00000011*
L0013024 00000000 00000000 00000000 00000000*
L0013056 00000000 00000000 00000000 00000000*
L0013088 00000000 00000010 00000001 00000010*
L0013120 00000000 00000000 00000000 00000001*
L0013088 00000000 00000011 00000001 00000011*
L0013120 00000000 00001011 00000001 00010001*
L0013152 00000000 00000000 00000001 00000000*
L0013184 00000000 00000000 00000000 00000011*
L0013216 00000000 00000000 00000000 00000000*
L0013184 00000010 00000000 00000000 00000011*
L0013216 00110000 00000010 00000000 00000000*
L0013248 000000 000000 000000 000000*
L0013272 000000 000000 000000 000000*
L0013296 000000 000000 000000 000000*
L0013320 000000 000000 000000 000000*
L0013344 000000 000000 000000 000000*
L0013368 000000 000000 000000 000000*
L0013344 000000 010000 000000 000000*
L0013368 000000 000010 000000 000000*
L0013392 00000000 00000000 00000000 00000000*
L0013424 00000000 00000000 00000000 00000000*
L0013456 00000000 00000000 00000000 00000000*
L0013488 00000000 00000000 00000000 00000000*
L0013520 00000000 00000000 00000000 00000000*
L0013552 00000000 00000000 00000000 00000000*
L0013584 00000000 00000000 00000000 00000000*
L0013616 00000000 00000000 00000000 00000000*
L0013552 10010000 00000000 00000000 00000000*
L0013584 10010000 00000000 00000000 00000000*
L0013616 00100000 00000000 00000000 00000000*
L0013648 00000000 00000000 00000000 00000000*
L0013680 000000 000000 000000 000000*
L0013704 000000 000000 000000 000000*
L0013728 000000 000000 000000 000000*
L0013704 000000 011010 000000 000000*
L0013728 000000 011110 000000 000000*
L0013752 000000 000000 000000 000000*
L0013776 000000 000000 000000 000000*
L0013800 000000 000000 000000 000000*
L0013824 00000000 00000000 00000000 00000000*
L0013856 00000000 00000000 00000000 00000001*
L0013888 00000000 00000000 00000000 00000000*
L0013856 00000011 00000000 00000001 00000010*
L0013888 00000000 00000001 00000000 00000000*
L0013920 00000000 00000000 00000000 00000000*
L0013952 00000000 00000010 00000001 00000010*
L0013984 00000000 01000000 00000000 00000001*
L0014016 00000000 00000000 00000001 00000000*
L0014048 00000000 00000000 00000000 00000011*
L0014080 00000000 00000000 00000000 00000000*
L0013952 00000000 00000001 00000000 00000001*
L0013984 00000000 00000011 00000001 00000000*
L0014016 00100000 00001000 00000000 00000000*
L0014048 10000010 00000000 00000000 00000000*
L0014080 00110000 00000010 00000000 00000000*
L0014112 000000 000000 000000 000000*
L0014136 000000 000000 000000 000000*
L0014160 000000 000000 000000 000000*
L0014160 000000 000100 000000 000000*
L0014184 000000 000000 000000 000000*
L0014208 000000 000000 000000 000000*
L0014232 000000 000000 000000 000000*
L0014256 00000000 00000000 00000000 00000000*
L0014288 00000000 00000000 00000000 00000000*
L0014320 00000000 00000000 00000000 00000000*
L0014352 00000000 00000000 00000000 00000000*
L0014384 00000000 00000000 00000000 00000000*
L0014416 00000100 00000001 00000000 00000000*
L0014448 00000000 00000001 00000000 00000001*
L0014480 00000001 00000001 00000000 00000000*
L0014208 000000 010000 000000 000000*
L0014232 000000 000010 000000 000000*
L0014256 00000000 00000001 00000000 00000000*
L0014288 00000000 00000101 00000000 00000001*
L0014320 00000000 00000010 00000000 00000000*
L0014352 00000000 00000011 00000000 00000000*
L0014384 00000000 00000010 00000001 00000010*
L0014416 00000000 00000000 00000000 00000001*
L0014448 10010000 00000011 00000001 00000000*
L0014480 00110001 00000011 00000000 00000011*
L0014512 00000001 00000001 00000000 00000001*
L0014544 000000 000000 000000 000000*
L0014568 000000 000000 000000 000000*
L0014592 000000 000000 000000 000000*
L0014616 000000 000000 000000 000000*
L0014640 000000 000000 000000 000000*
L0014592 000000 011010 000000 000000*
L0014616 000000 011010 000000 000000*
L0014640 000000 001010 000000 000000*
L0014664 000000 000000 000000 000000*
L0014688 00000000 00000000 00000000 00000000*
L0014720 00000000 00000000 00000000 00000000*
L0014752 00000000 00000000 00000000 00000000*
L0014784 00000000 00000000 00000000 00000000*
L0014784 00000000 00000001 00000000 00000000*
L0014816 00000000 00000000 00000000 00000000*
L0014848 00000000 00000000 00000000 00000100*
L0014880 00000000 00000000 00000000 00000000*
L0014912 00000000 00000000 00000000 00000000*
L0014944 00000000 00000000 00000000 00000000*
L0014848 00000000 00000000 00000000 00000000*
L0014880 00000000 00001001 00000000 00000000*
L0014912 00000000 00000001 00000000 00000000*
L0014944 00010000 00000001 00000000 00000000*
L0014976 000000 000000 000000 000000*
L0015000 000000 000000 000000 000000*
L0015024 000000 000000 000000 000000*
L0015048 000000 000000 000000 000000*
L0015072 000000 000000 000000 000000*
L0015096 000000 000000 000000 000000*
L0015048 000000 011000 000000 000000*
L0015072 000000 010010 000000 000000*
L0015096 000000 000010 000000 000000*
L0015120 00000000 00000000 00000000 00000000*
L0015152 00000000 00000000 00000000 00000000*
L0015152 00000000 00000100 00000000 00000000*
L0015184 00000000 00000000 00000000 00000000*
L0015216 00000000 00000000 00000000 00000000*
L0015248 00000000 00000000 00000000 00000000*
L0015280 00000000 00000000 00000000 00000000*
L0015312 00000100 00000000 00000000 00000000*
L0015344 00000000 00000000 00000000 00000000*
L0015280 10110000 00001000 00000000 00000000*
L0015312 00100000 00000100 00000000 00000000*
L0015344 10010000 00000000 00000000 00000000*
L0015376 00000000 00000000 00000000 00000000*
L0015408 000000 000000 000000 000000*
L0015432 000001 000000 000000 000000*
L0015456 000000 000000 000000 000000*
L0015480 000000 000000 000000 000000*
L0015504 000000 000000 000000 000000*
L0015432 000000 011010 000000 000000*
L0015456 000000 000100 000000 000000*
L0015480 000000 000010 000000 000000*
L0015504 000000 001000 000000 000000*
L0015528 000000 000000 000000 000000*
L0015552 00000000 00000000 00000000 00000000*
L0015584 00000000 00000000 00000000 00000000*
599,13 → 599,13
L0015936 000000 000000 000000 000000*
L0015960 000000 000000 000000 000000*
L0015984 00000000 00000000 00000000 00000000*
L0016016 00000000 00000000 00000000 00000000*
L0016016 00000000 00000000 00000000 00000001*
L0016048 00000000 00000000 00000000 00000000*
L0016080 00000000 00000000 00000000 00000000*
L0016112 00000000 00000000 00000000 00000000*
L0016144 00000000 00000000 00000000 00000000*
L0016176 00000000 00000000 00000000 00000000*
L0016208 00000000 00000000 00000000 00000000*
L0016112 00000000 00000010 00000001 00000010*
L0016144 00000000 00000000 00000000 00000001*
L0016176 00000000 00000000 00000001 00000000*
L0016208 00000000 00000000 00000000 00000011*
L0016240 00000000 00000000 00000000 00000000*
L0016272 000000 000000 000000 000000*
L0016296 000000 000000 000000 000000*
628,15 → 628,15
L0016776 000000 000000 000000 000000*
L0016800 000000 000000 000000 000000*
L0016824 000000 000000 000000 000000*
L0016848 00000000 00000000 00000000 00000000*
L0016848 00000000 00000001 00000000 00000000*
L0016880 00000000 00000000 00000000 00000000*
L0016912 00000000 00000000 00000000 00000000*
L0016944 00000000 00000000 00000000 00000000*
L0016944 00000000 00000011 00000000 00000000*
L0016976 00000000 00000000 00000000 00000000*
L0017008 00000000 00000001 00000000 00000000*
L0017040 00000000 00000001 00000000 00000000*
L0017072 00000001 00000001 00000000 00000000*
L0017104 00000001 00000001 00000000 00000000*
L0017008 00000000 00000000 00000000 00000000*
L0017040 00000000 00000011 00000000 00000000*
L0017072 00000001 00000011 00000000 00000000*
L0017104 00000001 00000001 00000000 00000001*
L0017136 000000 000000 000000 000000*
L0017160 000000 000000 000000 000000*
L0017184 000000 000000 000000 000000*
704,14 → 704,14
L0018960 000000 000000 000000 000000*
L0018984 000000 000000 000000 000000*
L0019008 00000000 00000000 00000000 00000000*
L0019040 00000000 00000000 00000000 00000001*
L0019040 00000011 00000000 00000001 00000011*
L0019072 00000000 00000000 00000000 00000000*
L0019104 00000000 00000000 00000000 00000000*
L0019136 00000000 00000010 00000001 00000010*
L0019168 00000000 00000000 00000000 00000001*
L0019136 00000000 00000011 00000001 00000011*
L0019168 00000000 00000011 00000001 00000001*
L0019200 00000000 00000000 00000001 00000000*
L0019232 00000000 00000000 00000000 00000011*
L0019264 00000000 00000000 00000000 00000000*
L0019232 00000010 00000000 00000000 00000011*
L0019264 00000000 00000010 00000000 00000000*
L0019296 000000 000000 000000 000000*
L0019320 000000 000000 000000 000000*
L0019344 000000 000000 000000 000000*
718,15 → 718,15
L0019368 000000 000000 000000 000000*
L0019392 000000 000000 000000 000000*
L0019416 000000 000000 000000 000000*
L0019440 00000000 00000000 00000000 00000000*
L0019472 00000000 00000000 00000000 00000001*
L0019504 00000000 00000000 00000000 00000000*
L0019536 00000000 00000000 00000000 00000000*
L0019568 00000000 00000010 00000001 00000010*
L0019600 00000000 00000001 00000000 00000001*
L0019632 00000000 00000001 00000001 00000001*
L0019664 00000001 00000001 00000000 00000011*
L0019696 00000001 00000001 00000000 00000001*
L0019440 00000000 00000001 00000000 00000000*
L0019472 00000011 00000001 00000000 00000001*
L0019504 00000000 00000011 00000000 00000000*
L0019536 00000000 00000011 00000000 00000000*
L0019568 00000000 00000011 00000000 00000010*
L0019600 00000000 00000011 00000000 00000001*
L0019632 00000000 00000011 00000001 00000000*
L0019664 00000011 00000011 00000000 00000011*
L0019696 00000001 00000011 00000000 00000001*
L0019728 000000 000000 000000 000000*
L0019752 000000 000000 000000 000000*
L0019776 000000 000000 000000 000000*
733,45 → 733,45
L0019800 000000 000000 000000 000000*
L0019824 000000 000000 000000 000000*
L0019848 000000 000000 000000 000000*
L0019872 00000000 00000000 00000000 00000000*
L0019904 00000000 00000000 00000000 00000000*
L0019936 00000000 00000000 00000000 00000000*
L0019968 00000000 00000000 00000000 00000000*
L0020000 00000000 00000000 00000000 00000000*
L0020032 00000000 00000001 00000000 00000000*
L0020064 00000000 00000001 00000000 00000000*
L0020096 00000001 00000001 00000000 00000000*
L0020128 00000001 00000001 00000000 00000001*
L0019872 00000000 00000001 00000000 00000000*
L0019904 00000011 00000001 00000000 00000000*
L0019936 00000000 00000001 00000000 00000000*
L0019968 00000000 00000010 00000000 00000000*
L0020000 00000000 00000001 00000000 00000000*
L0020032 00000000 00000011 00000000 00000100*
L0020064 00000000 00000011 00000000 00000000*
L0020096 00000011 00000011 00000000 00000000*
L0020128 00000001 00000011 00000000 00000001*
L0020160 000000 000000 000000 000000*
L0020184 000000 000000 000000 000010*
L0020184 000000 000000 000000 000000*
L0020208 000000 000000 000000 000000*
L0020232 000000 000000 000000 000000*
L0020256 000000 000000 000000 000000*
L0020280 000000 000000 000000 000000*
L0020304 00000000 00000000 00000000 00000000*
L0020336 00000000 00000000 00000000 00000000*
L0020336 00000011 00000000 00000000 00000000*
L0020368 00000000 00000000 00000000 00000000*
L0020400 00000000 00000000 00000000 00000000*
L0020400 00000000 00000001 00000000 00000000*
L0020432 00000000 00000000 00000000 00000000*
L0020464 00000000 00000000 00000000 00000000*
L0020496 00000100 00000000 00000000 00000000*
L0020528 00000000 00000000 00000000 00000000*
L0020560 00000000 00000000 00000000 00000000*
L0020464 00000000 00000011 00000000 00000000*
L0020496 00000100 00000001 00000000 00000000*
L0020528 00000010 00000001 00000000 00000000*
L0020560 00000000 00000011 00000000 00000000*
L0020592 000000 000000 000000 000000*
L0020616 000000 000010 000000 000000*
L0020640 000001 000000 000000 000000*
L0020616 000001 000000 000000 000000*
L0020640 000000 000000 000000 000000*
L0020664 000000 000000 000000 000000*
L0020688 000000 000000 000000 000000*
L0020712 000000 000000 000000 000000*
L0020736 00000000 00000000 00000000 00000000*
L0020768 00000000 00000000 00000000 00000000*
L0020768 00000001 00000000 00000000 00000001*
L0020800 00000000 00000000 00000000 00000000*
L0020832 00000000 00000000 00000000 00000000*
L0020864 00000000 00000000 00000000 00000000*
L0020896 00000000 00000000 00000000 00000000*
L0020928 00000000 00000000 00000000 00000000*
L0020960 00000000 00000000 00000000 00000000*
L0020992 00000000 00000000 00000000 00000000*
L0020864 00000000 00000010 00000001 00000010*
L0020896 00000000 00000011 00000000 01000001*
L0020928 00000000 00000000 00000001 00000000*
L0020960 00000010 00000000 00000000 00000011*
L0020992 00000000 00000010 00000000 00000000*
L0021024 000000 000000 000000 000000*
L0021048 000000 000000 000000 000000*
L0021072 000000 000000 000000 000000*
783,7 → 783,7
L0021232 00000000 00000000 00000000 00000000*
L0021264 00000000 00000000 00000000 00000000*
L0021296 00000000 00000000 00000000 00000000*
L0021328 00000000 00000000 00000000 00000000*
L0021328 00000000 00000001 00000000 00000000*
L0021360 00000000 00000000 00000000 00000000*
L0021392 00000000 00000000 00000000 00000000*
L0021424 00000000 00000000 00000000 00000000*
793,71 → 793,71
L0021528 000000 000000 000000 000000*
L0021552 000000 000000 000000 000000*
L0021576 000000 000000 000000 000000*
L0021600 00000000 00000000 00000010 00000100*
L0021632 00000001 00000101 00000010 00000000*
L0021600 00000000 00000000 00000100 00000000*
L0021632 00000000 00000000 00000000 00000000*
L0021664 00000000 00000000 00000000 00000000*
L0021696 00000000 00000000 00000000 00000000*
L0021728 00000001 00000001 00000000 00000000*
L0021728 00000000 00000000 00000000 00000000*
L0021760 00000000 00000000 00000000 00000000*
L0021792 00000100 00000100 00000000 00000000*
L0021792 00000000 00010000 00000000 00000000*
L0021824 00000000 00000000 00000000 00000000*
L0021856 00000000 00000000 00000000 00000000*
L0021888 000000 000000 000000 000000*
L0021912 000000 000000 000000 000001*
L0021936 000001 000011 000000 000001*
L0021912 000000 100000 000000 000000*
L0021936 000000 000000 000000 000000*
L0021960 000000 000000 000000 000000*
L0021984 000000 000000 000000 000000*
L0022008 000000 000000 000000 000000*
L0022032 00000000 00000100 00000000 00000000*
L0022064 00000000 00000000 00000000 00000000*
L0022032 00000000 00000000 00000000 00000000*
L0022064 00000000 01110000 00000000 00000000*
L0022096 00000000 00000000 00000000 00000000*
L0022128 00000000 00000000 00000000 00000000*
L0022160 00000000 00000000 00000000 00000000*
L0022192 00000100 00000100 00000000 00000000*
L0022224 00000000 00000000 00000000 00000000*
L0022192 00000000 00010000 00000000 00000000*
L0022224 00000100 00100000 00000000 00000000*
L0022256 00000000 00000000 00000000 00000000*
L0022288 00000000 00000000 00000000 00000000*
L0022320 000000 000000 000000 000000*
L0022344 000001 000011 000000 000000*
L0022368 000000 000000 000000 000000*
L0022344 000000 000000 000000 000000*
L0022368 000001 000000 000000 000001*
L0022392 000000 000000 000000 000000*
L0022416 000000 000000 000000 000000*
L0022440 000000 000000 000000 000000*
L0022464 00000000 00000000 00000000 00000100*
L0022496 00000000 00000000 00000000 00000000*
L0022464 00000000 00000000 00000000 00000000*
L0022496 00000000 01110000 00000000 00000000*
L0022528 00000000 00000000 00000000 00000000*
L0022560 00000000 00000000 00000000 00000000*
L0022592 00000000 00000000 00000000 00000000*
L0022624 00000000 00000000 00000000 00000000*
L0022656 00000000 00000000 00000000 00000000*
L0022624 00000000 00010000 00000000 00000000*
L0022656 00000100 00110000 00000000 00000000*
L0022688 00000000 00000000 00000000 00000000*
L0022720 00000000 00000000 00000000 00000000*
L0022752 000000 000000 000000 000000*
L0022776 000000 000000 000000 000000*
L0022800 000000 000000 000000 000001*
L0022776 000000 100000 000000 000000*
L0022800 000001 000000 000000 000001*
L0022824 000000 000000 000000 000000*
L0022848 000000 000000 000000 000000*
L0022872 000000 000000 000000 000000*
L0022896 00000000 00000000 00000000 00000000*
L0022928 00000000 00000100 00000000 00000000*
L0022896 00000000 01110000 00000000 00000000*
L0022928 00000010 00000010 00000000 00000010*
L0022960 00000000 00000000 00000000 00000000*
L0022992 00000000 00000000 00000000 00000000*
L0023024 00000000 00000000 00000000 00000000*
L0023056 00000000 00000000 00000000 00000000*
L0023088 00000100 00000100 00000000 00000000*
L0023024 00000010 00000010 00000000 00000010*
L0023056 00000100 00100000 00000000 00000000*
L0023088 00000000 00000000 00000000 00000000*
L0023120 00000000 00000000 00000000 00000000*
L0023152 00000000 00000000 00000000 00000000*
L0023184 000000 000000 000000 000000*
L0023208 000000 000000 000000 000001*
L0023232 000001 000011 000000 000000*
L0023208 000001 000100 000000 000001*
L0023232 000000 000000 000000 000000*
L0023256 000000 000000 000000 000000*
L0023280 000000 000000 000000 000000*
L0023304 000000 000000 000000 000000*
L0023328 00000000 00000000 00000000 00000000*
L0023360 00000000 00000000 00000000 00000000*
L0023328 00000000 00000000 00000000 00000010*
L0023360 00000000 00000000 00000000 00000010*
L0023392 00000000 00000000 00000000 00000000*
L0023424 00000000 00000000 00000000 00000000*
L0023456 00000000 00000000 00000000 00000000*
L0023456 00000000 00000000 00000000 00000010*
L0023488 00000000 00000000 00000000 00000000*
L0023520 00000000 00000000 00000000 00000000*
L0023552 00000000 00000000 00000000 00000000*
868,18 → 868,18
L0023688 000000 000000 000000 000000*
L0023712 000000 000000 000000 000000*
L0023736 000000 000000 000000 000000*
L0023760 00000000 00000001 00000001 00000001*
L0023792 00000000 00000100 00000000 00000000*
L0023760 00000000 00000000 00000000 00000000*
L0023792 00000000 00000000 00000000 00000000*
L0023824 00000000 00000000 00000000 00000000*
L0023856 00000000 00000000 00000000 00000000*
L0023888 00000000 00000000 00000000 00000000*
L0023920 00000000 00000100 00000000 00000000*
L0023952 00000100 00000000 00000000 00000000*
L0023920 00000000 00000000 00000000 00000000*
L0023952 00000000 00000000 00000000 00000000*
L0023984 00000000 00000000 00000000 00000000*
L0024016 00000000 00000000 00000000 00000000*
L0024048 000000 000000 000000 000000*
L0024072 000000 000000 000000 000000*
L0024096 000001 000010 000000 000000*
L0024096 000000 000000 000000 000000*
L0024120 000000 000000 000000 000000*
L0024144 000000 000000 000000 000000*
L0024168 000000 000000 000000 000000*
899,10 → 899,10
L0024576 000000 000000 000000 000000*
L0024600 000000 000000 000000 000000*
L0024624 00000000 00000000 00000000 00000000*
L0024656 00000000 00000000 00000000 00000000*
L0024656 00000010 00000010 00000000 00000010*
L0024688 00000000 00000000 00000000 00000000*
L0024720 00000000 00000000 00000000 00000000*
L0024752 00000000 00000000 00000000 00000000*
L0024752 00000010 00000010 00000000 00000010*
L0024784 00000000 00000000 00000000 00000000*
L0024816 00000000 00000000 00000000 00000000*
L0024848 00000000 00000000 00000000 00000000*
929,10 → 929,10
L0025440 000000 000000 000000 000000*
L0025464 000000 000000 000000 000000*
L0025488 00000000 00000000 00000000 00000000*
L0025520 00000000 00000000 00000000 00000000*
L0025520 00000010 00000010 00000000 00000010*
L0025552 00000000 00000000 00000000 00000000*
L0025584 00000000 00000000 00000000 00000000*
L0025616 00000000 00000000 00000000 00000000*
L0025616 00000010 00000010 00000000 00000010*
L0025648 00000000 00000000 00000000 00000000*
L0025680 00000000 00000000 00000000 00000000*
L0025712 00000000 00000000 00000000 00000000*
944,10 → 944,10
L0025872 000000 000000 000000 000000*
L0025896 000000 000000 000000 000000*
L0025920 00000000 00000000 00000000 00000000*
L0025952 00000000 00000000 00000000 00000000*
L0025952 00000010 00000010 00000000 00000010*
L0025984 00000000 00000000 00000000 00000000*
L0026016 00000000 00000000 00000000 00000000*
L0026048 00000000 00000000 00000000 00000000*
L0026048 00000010 00000010 00000000 00000010*
L0026080 00000000 00000000 00000000 00000000*
L0026112 00000000 00000000 00000000 00000000*
L0026144 00000000 00000000 00000000 00000000*
959,10 → 959,10
L0026304 000000 000000 000000 000000*
L0026328 000000 000000 000000 000000*
L0026352 00000000 00000000 00000000 00000000*
L0026384 00000000 00000000 00000000 00000000*
L0026384 00000000 00000010 00000000 00000000*
L0026416 00000000 00000000 00000000 00000000*
L0026448 00000000 00000000 00000000 00000000*
L0026480 00000000 00000000 00000000 00000000*
L0026480 00000000 00000010 00000000 00000000*
L0026512 00000000 00000000 00000000 00000000*
L0026544 00000000 00000000 00000000 00000000*
L0026576 00000000 00000000 00000000 00000000*
1003,12 → 1003,12
L0027576 000000 000000 000000 000000*
L0027600 000000 000000 000000 000000*
L0027624 000000 000000 000000 000000*
L0027648 00000000 00000000 00000000 00000000*
L0027680 00000000 00000000 00000000 00000010*
L0027648 00000000 00000000 00000000 00000001*
L0027680 00000001 00000001 00000000 00000001*
L0027712 00000000 00000000 00000000 00000000*
L0027744 00000000 00000000 00000000 00000000*
L0027776 00000000 00000000 00000000 00000000*
L0027808 00000000 00000000 00001000 00000000*
L0027776 00000001 00000001 00000000 00000001*
L0027808 00000000 00000000 00000000 00000000*
L0027840 00000000 00000000 00000000 00000000*
L0027872 00000000 00000000 00000000 00000000*
L0027904 00000000 00000000 00000000 00000000*
1018,23 → 1018,23
L0028008 000000 000000 000000 000000*
L0028032 000000 000000 000000 000000*
L0028056 000000 000000 000000 000000*
L0028080 00000000 00000000 00000000 00000000*
L0028112 00000000 00000000 00000000 00000000*
L0028080 00000000 00000000 00000000 00000010*
L0028112 00000010 00000010 00000000 00000000*
L0028144 00000000 00000000 00000000 00000000*
L0028176 00000000 00000000 00000000 00000000*
L0028208 00000000 00000000 00000000 00000000*
L0028240 00000000 01000000 00000000 01000100*
L0028208 00000010 00000010 00000000 00000010*
L0028240 00000000 00000000 00000000 00000000*
L0028272 00000000 00000000 00000000 00000000*
L0028304 00000000 00000000 00000000 00000000*
L0028336 00000000 00000000 00000000 00000000*
L0028368 000000 000000 000000 000000*
L0028392 000000 000000 000000 000010*
L0028392 000000 000000 000000 000000*
L0028416 000000 000000 000000 000000*
L0028440 000000 000000 000000 000000*
L0028464 000000 000000 000000 000000*
L0028488 000000 000000 000000 000000*
L0028512 00000000 00000000 00000000 00000000*
L0028544 00000000 00000000 00000000 00000000*
L0028544 00000010 00000010 00000000 00000000*
L0028576 00000000 00000000 00000000 00000000*
L0028608 00000000 00000000 00000000 00000000*
L0028640 00000010 00000010 00000000 00000000*
1049,10 → 1049,10
L0028896 000000 000000 000000 000000*
L0028920 000000 000000 000000 000000*
L0028944 00000000 00000000 00000000 00000000*
L0028976 00000001 00000001 00000000 00000001*
L0028976 00000010 00000010 00000000 00000000*
L0029008 00000000 00000000 00000000 00000000*
L0029040 00000000 00000000 00000000 00000000*
L0029072 00000011 00000001 00000000 00000011*
L0029072 00000010 00000010 00000000 00000000*
L0029104 00000000 00000000 00000000 00000000*
L0029136 00000000 00000000 00000000 00000000*
L0029168 00000000 00000000 00000000 00000000*
1064,10 → 1064,10
L0029328 000000 000000 000000 000000*
L0029352 000000 000000 000000 000000*
L0029376 00000000 00000000 00000000 00000000*
L0029408 00000000 00000000 00000000 00000000*
L0029408 00000001 00000001 00000000 00000001*
L0029440 00000000 00000000 00000000 00000000*
L0029472 00000000 00000000 00000000 00000000*
L0029504 00000000 00000000 00000000 00000000*
L0029504 00000001 00000001 00000000 00000001*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00000000*
1078,11 → 1078,11
L0029736 000000 000000 000000 000000*
L0029760 000000 000000 000000 000000*
L0029784 000000 000000 000000 000000*
L0029808 00000000 00000000 00000000 00000000*
L0029840 00000000 00000000 00000000 00000000*
L0029808 00000000 00000001 00000000 00000000*
L0029840 00000000 00000001 00000000 00000000*
L0029872 00000000 00000000 00000000 00000000*
L0029904 00000000 00000000 00000000 00000000*
L0029936 00000000 00000000 00000000 00000000*
L0029936 00000000 00000001 00000000 00000000*
L0029968 00000000 00000000 00000000 00000000*
L0030000 00000000 00000000 00000000 00000000*
L0030032 00000000 00000000 00000000 00000000*
1093,11 → 1093,11
L0030168 000000 000000 000000 000000*
L0030192 000000 000000 000000 000000*
L0030216 000000 000000 000000 000000*
L0030240 00000001 00000001 00000000 00000001*
L0030272 00000001 00000001 00000000 00000001*
L0030240 00000001 00000000 00000000 00000001*
L0030272 00000001 00000000 00000000 00000001*
L0030304 00000000 00000000 00000000 00000000*
L0030336 00000000 00000000 00000000 00000000*
L0030368 00000001 00000001 00000000 00000001*
L0030368 00000001 00000000 00000000 00000001*
L0030400 00000000 00000000 00000000 00000000*
L0030432 00000000 00000000 00000000 00000000*
L0030464 00000000 00000000 00000000 00000000*
1112,7 → 1112,7
L0030704 00000000 00000000 00000000 00000000*
L0030736 00000000 00000000 00000000 00000000*
L0030768 00000000 00000000 00000000 00000000*
L0030800 00000000 00000000 00000000 00000000*
L0030800 00000001 00000001 00000001 00000001*
L0030832 00000000 00000000 00000000 00000000*
L0030864 00000000 00000000 00000000 00000000*
L0030896 00000000 00000000 00000000 00000000*
1124,10 → 1124,10
L0031056 000000 000000 000000 000000*
L0031080 000000 000000 000000 000000*
L0031104 00000000 00000000 00000000 00000000*
L0031136 00000001 00000001 00000000 00000001*
L0031136 00000000 00000000 00000000 00000000*
L0031168 00000000 00000000 00000000 00000000*
L0031200 00000000 00000000 00000000 00000000*
L0031232 00000001 00000001 00000000 00000000*
L0031232 00000000 00000000 00000000 00000000*
L0031264 00000000 00000000 00000000 00000000*
L0031296 00000000 00000000 00000000 00000000*
L0031328 00000000 00000000 00000000 00000000*
1138,11 → 1138,11
L0031464 000000 000000 000000 000000*
L0031488 000000 000000 000000 000000*
L0031512 000000 000000 000000 000000*
L0031536 00000000 00000000 00000000 00000000*
L0031568 00000010 00000010 00000000 00000010*
L0031536 00000000 00000000 00000000 00000010*
L0031568 00000000 00000000 00000000 00000010*
L0031600 00000000 00000000 00000000 00000000*
L0031632 00000000 00000000 00000000 00000000*
L0031664 00000010 00000010 00000000 00000010*
L0031664 00000010 00000000 00000000 00000010*
L0031696 00000000 00000000 00000000 00000000*
L0031728 00000000 00000000 00000000 00000000*
L0031760 00000000 00000000 00000000 00000000*
1153,11 → 1153,11
L0031896 000000 000000 000000 000000*
L0031920 000000 000000 000000 000000*
L0031944 000000 000000 000000 000000*
L0031968 00000000 00000000 00000000 00000000*
L0032000 00000000 00000000 00000000 00000000*
L0031968 00000000 00000000 00000000 00000010*
L0032000 00000000 00000000 00000000 00000010*
L0032032 00000000 00000000 00000000 00000000*
L0032064 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000000 00000010*
L0032128 00000000 00000000 00000000 00000000*
L0032160 00000000 00000000 00000000 00000000*
L0032192 00000000 00000000 00000000 00000000*
1168,11 → 1168,11
L0032328 000000 000000 000000 000000*
L0032352 000000 000000 000000 000000*
L0032376 000000 000000 000000 000000*
L0032400 00000000 00000000 00000000 00000000*
L0032432 00000000 00000000 00000000 00000000*
L0032464 00000010 00000010 00000000 00000010*
L0032400 00000000 00000000 00000010 00000000*
L0032432 00000010 00000010 00000000 00000010*
L0032464 00000000 00000000 00000000 00000000*
L0032496 00000000 00000000 00000000 00000000*
L0032528 00000000 00000000 00000000 00000000*
L0032528 00000010 00000010 00000010 00000010*
L0032560 00000000 00000000 00000000 00000000*
L0032592 00000000 00000000 00000000 00000000*
L0032624 00000000 00000000 00000000 00000000*
1183,11 → 1183,11
L0032760 000000 000000 000000 000000*
L0032784 000000 000000 000000 000000*
L0032808 000000 000000 000000 000000*
L0032832 00000010 00000010 00000000 00000010*
L0032864 00000010 00000010 00000000 00000010*
L0032896 00000000 00000000 00000000 00000000*
L0032832 00000000 00000000 00000000 00000000*
L0032864 00000000 00000000 00000000 00000000*
L0032896 00000010 00000010 00000000 00000010*
L0032928 00000000 00000000 00000000 00000000*
L0032960 00000010 00000010 00000000 00000010*
L0032960 00000000 00000000 00000000 00000000*
L0032992 00000000 00000000 00000000 00000000*
L0033024 00000000 00000000 00000000 00000000*
L0033056 00000000 00000000 00000000 00000000*
1278,31 → 1278,31
L0035488 00000000 00000000 00000000 00000000*
L0035520 00000000 00000000 00000000 00000000*
L0035552 00000000 00000000 00000000 00000000*
L0035584 00000000 00000000 00000000 00000000*
L0035616 00000000 00000000 00000000 00000000*
L0035648 00000000 00000000 00000000 00000000*
L0035584 00000000 00001000 00000000 00100000*
L0035616 00000000 00001000 00000000 00000000*
L0035648 10000000 00000000 00000000 00000000*
L0035680 00000000 00000000 00000000 00000000*
L0035712 000000 000000 000000 000000*
L0035736 000000 000000 000000 000000*
L0035760 000000 000000 000000 000000*
L0035784 000000 000000 000000 000000*
L0035808 000000 000000 000000 000000*
L0035760 000000 000100 000000 000000*
L0035784 000000 010000 000000 000000*
L0035808 000000 001010 000000 000000*
L0035832 000000 000000 000000 000000*
L0035856 00000000 00000000 00000000 00000000*
L0035888 00000000 00000000 00000000 00000000*
L0035888 00000000 00000100 00000000 00000000*
L0035920 00000000 00000000 00000000 00000000*
L0035952 00000000 00000000 00000000 00000000*
L0035984 00000000 00000000 00000000 00000000*
L0036016 00000000 00000000 00000000 00000000*
L0036048 00000000 00000000 00000000 00000000*
L0036080 00000000 00000000 00000000 00000000*
L0036112 00000000 00000000 00000000 00000000*
L0036016 00100000 00000000 00000000 00000000*
L0036048 00000000 00000100 00000000 00000000*
L0036080 00010000 00000000 00000000 00000000*
L0036112 00100000 00000000 00000000 00000000*
L0036144 000000 000000 000000 000000*
L0036168 000000 000000 000000 000000*
L0036192 000000 000000 000000 000000*
L0036216 000000 000000 000000 000000*
L0036240 000000 000000 000000 000000*
L0036264 000000 000000 000000 000000*
L0036192 000000 000001 000000 000000*
L0036216 000000 001010 000000 000000*
L0036240 000000 010000 000000 000000*
L0036264 000000 000010 000000 000000*
L0036288 00000000 00000000 00000000 00000000*
L0036320 00000000 00000000 00000000 00000000*
L0036352 00000000 00000000 00000000 00000000*
1368,7 → 1368,7
L0038080 00000000 00000000 00000000 00000000*
L0038112 00000000 00000000 00000000 00000000*
L0038144 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000000 01000000*
L0038176 00000000 00000000 00000000 00000000*
L0038208 00000000 00000000 00000000 00000000*
L0038240 00000000 00000000 00000000 00000000*
L0038272 00000000 00000000 00000000 00000000*
1378,18 → 1378,18
L0038376 000000 000000 000000 000000*
L0038400 000000 000000 000000 000000*
L0038424 000000 000000 000000 000000*
L0038448 00000000 00000100 00000000 00000000*
L0038448 00000000 00000000 00000000 00000000*
L0038480 00000000 00000000 00000000 00000000*
L0038512 00000000 00000000 00000000 00000000*
L0038544 00000000 00000000 00000000 00000000*
L0038576 00000000 00000000 00000000 00000000*
L0038608 00000000 00000000 00000000 00000000*
L0038640 00000100 00000000 00000000 00000000*
L0038640 00000000 00000000 00000000 00000000*
L0038672 00000000 00000000 00000000 00000000*
L0038704 00000000 00000000 00000000 00000000*
L0038736 000000 000000 000000 000000*
L0038760 000000 000000 000000 000000*
L0038784 000001 000010 000000 000000*
L0038784 000000 000000 000000 000000*
L0038808 000000 000000 000000 000000*
L0038832 000000 000000 000000 000000*
L0038856 000000 000000 000000 000000*
1408,18 → 1408,18
L0039240 000000 000000 000000 000000*
L0039264 000000 000000 000000 000000*
L0039288 000000 000000 000000 000000*
L0039312 00000000 00000000 00000000 00000000*
L0039344 00000000 00000000 00000000 00000000*
L0039312 00000000 00100000 00000000 00000000*
L0039344 00000000 01000000 00000000 00000000*
L0039376 00000000 00000000 00000000 00000000*
L0039408 00000000 00000000 00000000 00000000*
L0039440 00000000 00000000 00000000 00000000*
L0039472 00000000 00000000 00000000 00000000*
L0039504 00000000 00000000 00000000 00000000*
L0039504 00000100 00000000 00000000 00000000*
L0039536 00000000 00000000 00000000 00000000*
L0039568 00000000 00000000 00000000 00000000*
L0039600 000000 000000 000000 000000*
L0039624 000000 000000 000000 000000*
L0039648 000000 000000 000000 000000*
L0039648 000001 000000 000000 000001*
L0039672 000000 000000 000000 000000*
L0039696 000000 000000 000000 000000*
L0039720 000000 000000 000000 000000*
1428,7 → 1428,7
L0039808 00000000 00000000 00000000 00000000*
L0039840 00000000 00000000 00000000 00000000*
L0039872 00000000 00000000 00000000 00000000*
L0039904 00000000 00000000 00000000 00000000*
L0039904 00000000 01000000 00000000 00000000*
L0039936 00000000 00000000 00000000 00000000*
L0039968 00000000 00000000 00000000 00000000*
L0040000 00000000 00000000 00000000 00000000*
1463,23 → 1463,23
L0040832 00000000 00000000 00000000 00000000*
L0040864 00000000 00000000 00000000 00000000*
L0040896 000000 000000 000000 000000*
L0040920 000000 000000 000000 000001*
L0040920 000000 000000 000000 000000*
L0040944 000000 000000 000000 000000*
L0040968 000000 000000 000000 000000*
L0040992 000000 000000 000000 000000*
L0041016 000000 000000 000000 000000*
L0041040 00000000 00000000 00000000 00000000*
L0041072 00000000 00000100 00000000 00000000*
L0041072 00000000 00000000 00000000 00000000*
L0041104 00000000 00000000 00000000 00000000*
L0041136 00000000 00000000 00000000 00000000*
L0041168 00000000 00000000 00000000 00000000*
L0041200 00000000 00000000 00000000 00000000*
L0041232 00000100 00000100 00000000 00000000*
L0041232 00000100 00000000 00000000 00000000*
L0041264 00000000 00000000 00000000 00000000*
L0041296 00000000 00000000 00000000 00000000*
L0041328 000000 000000 000000 000000*
L0041352 000000 000000 000000 000000*
L0041376 000001 000011 000000 000001*
L0041352 000000 000000 000000 000001*
L0041376 000001 000000 000000 000000*
L0041400 000000 000000 000000 000000*
L0041424 000000 000000 000000 000000*
L0041448 000000 000000 000000 000000*
1498,20 → 1498,20
L0041832 000000 000000 000000 000000*
L0041856 000000 000000 000000 000000*
L0041880 000000 000000 000000 000000*
L0041904 00000000 00000000 00000000 00000000*
L0041904 00000000 00001100 00000000 00000000*
L0041936 00000000 00000000 00000000 00000000*
L0041968 00000000 00000000 00000000 00000000*
L0042000 00000000 00000000 00000000 00000000*
L0042000 00000000 00000000 00000100 00000000*
L0042032 00000000 00000000 00000000 00000000*
L0042064 00000000 00000000 00000000 00000000*
L0042064 00000100 00000100 00000000 00000000*
L0042096 00000000 00000000 00000000 00000000*
L0042128 00000000 00000000 00000000 00000000*
L0042160 00000000 00000000 00000000 00000000*
L0042160 00000000 01000000 00001000 01110100*
L0042192 000000 000000 000000 000000*
L0042216 000000 000000 000000 000000*
L0042216 000000 000001 000000 000000*
L0042240 000000 000000 000000 000000*
L0042264 000000 000000 000000 000000*
L0042288 000000 000000 000000 000000*
L0042288 000000 000000 000000 000010*
L0042312 000000 000000 000000 000000*
L0042336 00000000 00000000 00000000 00000000*
L0042368 00000000 00000000 00000000 00000000*
1518,7 → 1518,7
L0042400 00000000 00000000 00000000 00000000*
L0042432 00000000 00000000 00000000 00000000*
L0042464 00000000 00000000 00000000 00000000*
L0042496 00000000 00000000 00000000 00100000*
L0042496 00000000 00000000 00000000 00000000*
L0042528 00000000 00000000 00000000 00000000*
L0042560 00000000 00000000 00000000 00000000*
L0042592 00000000 00000000 00000000 00000000*
1529,17 → 1529,17
L0042720 000000 000000 000000 000000*
L0042744 000000 000000 000000 000000*
L0042768 00000000 00000000 00000000 00000000*
L0042800 00000000 00000100 00000000 00000000*
L0042800 00000000 00000000 00000000 00000000*
L0042832 00000000 00000000 00000000 00000000*
L0042864 00000000 00000000 00000000 00000000*
L0042896 00000000 00000000 00000000 00000000*
L0042928 00000000 00000000 00000000 00000000*
L0042960 00000100 00000100 00000000 00000000*
L0042960 00000000 00000000 00000000 00000000*
L0042992 00000000 00000000 00000000 00000000*
L0043024 00000000 00000000 00000000 00000000*
L0043056 000000 000000 000000 000000*
L0043080 000000 000001 000000 000000*
L0043104 000001 000010 000000 000000*
L0043080 000000 000000 000000 000000*
L0043104 000000 000000 000000 000000*
L0043128 000000 000000 000000 000000*
L0043152 000000 000000 000000 000000*
L0043176 000000 000000 000000 000000*
1663,5 → 1663,5
L0046584 000000 000000 000000 000000*
L0046608 000000 000000 000000 000000*
L0046632 000000 000000 000000 000000*
C530C*
C3DD
CABAB*
C548
/phr/trunk/codigo/cpld/test1/test1.xise
22,6 → 22,10
<file xil_pn:name="test1.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="contador.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
</files>
 
<properties>
/phr/trunk/codigo/cpld/test1/contador.vhd
0,0 → 1,78
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity contador is
Port (
reset : in std_logic;
pulso_p : in std_logic;
unidad_p : out std_logic_vector(3 downto 0);
decena_p : out std_logic_vector(3 downto 0);
centena_p : out std_logic_vector(3 downto 0);
u_mil_p : out std_logic_vector(3 downto 0));
end contador;
 
architecture Behavioral of contador is
constant MAX_NUMERO : std_logic_vector(3 downto 0) := "1010";
 
begin
 
 
unidad:
process(pulso_p, reset)
variable unidad_v : std_logic_vector(3 downto 0);
variable decena_v : std_logic_vector(3 downto 0);
variable centena_v : std_logic_vector(3 downto 0);
variable u_mil_v : std_logic_vector(3 downto 0);
begin
 
if (reset = '1' or unidad_v = MAX_NUMERO) then
unidad_v := (others => '0');
elsif (pulso_p'event and pulso_p='1') then
unidad_v := unidad_v+1;
if (unidad_v = MAX_NUMERO) then
decena_v := decena_v + 1;
end if;
if (decena_v = MAX_NUMERO) then
centena_v := centena_v + 1;
end if;
if (centena_v = MAX_NUMERO) then
u_mil_v := u_mil_v + 1;
end if;
end if;
 
if reset ='1' or decena_v = MAX_NUMERO then
decena_v:= (others => '0');
end if;
if reset ='1' or centena_v = MAX_NUMERO then
centena_v:= (others => '0');
end if;
if reset ='1' or u_mil_v = MAX_NUMERO then
u_mil_v:= (others => '0');
end if;
 
 
unidad_p <= unidad_v;
decena_p <= decena_v;
centena_p <= centena_v;
u_mil_p <= u_mil_v;
end process;
 
 
 
end Behavioral;
 
 
 
 
 
/phr/trunk/codigo/cpld/test1/test1.prj
1,0 → 2,78
vhdl work "../PruebaKitCPLD_v30/contador.vhd"
vhdl work "test1.vhd"
/phr/trunk/codigo/cpld/test1/test1.ucf
1,6 → 1,5
NET clock LOC=P1;
 
# --- LEDS---
NET led<0> LOC=P13;
14,7 → 13,7
# --- PUSH BOTTON ---
NET btn<0> LOC=P7;
# NET btn<0> LOC=P7;
NET btn<1> LOC=P6;
NET btn<2> LOC=P16;
NET btn<3> LOC=P8;
21,30 → 20,61
NET btn<4> LOC=P29;
# --- SWITCHES---
NET sw<0> LOC=P32;
NET sw<1> LOC=P40;
NET sw<2> LOC=P38;
NET sw<3> LOC=P34;
NET sw<4> LOC=P41;
NET sw<5> LOC=P43;
NET sw<6> LOC=P2;
NET sw<7> LOC=P5;
# NET sw<0> LOC=P32;
# NET sw<1> LOC=P40;
# NET sw<2> LOC=P38;
# NET sw<3> LOC=P34;
NET sw<4> LOC=P41;
NET sw<5> LOC=P43;
NET sw<6> LOC=P2;
NET sw<7> LOC=P5;
 
# --- DISPLAY ---
NET seg<0> LOC=P31; #Seg A
NET seg<1> LOC=P33; #Seg B
NET seg<2> LOC=P39; #Seg C
NET seg<3> LOC=P37; #Seg D
NET seg<4> LOC=P36; #Seg E
NET seg<5> LOC=P42; #Seg F
NET seg<6> LOC=P44; #Seg G
# NET seg<0> LOC=P31; #Seg A
# NET seg<1> LOC=P33; #Seg B
# NET seg<2> LOC=P39; #Seg C
# NET seg<3> LOC=P37; #Seg D
# NET seg<4> LOC=P36; #Seg E
# NET seg<5> LOC=P42; #Seg F
# NET seg<6> LOC=P44; #Seg G
NET seg<7> LOC=P3; #Seg DP
NET an<0> LOC=P12;
NET an<1> LOC=P14;
NET an<2> LOC=P19;
NET an<3> LOC=P23;
# NET an<0> LOC=P12;
# NET an<1> LOC=P14;
# NET an<2> LOC=P19;
# NET an<3> LOC=P23;
 
#PINLOCK_BEGIN
 
#Mon Jun 2 20:15:57 2014
 
NET "btn<0>" LOC = "S:PIN7";
NET "clock" LOC = "S:PIN1";
NET "sw<0>" LOC = "S:PIN32";
NET "sw<1>" LOC = "S:PIN40";
NET "sw<2>" LOC = "S:PIN38";
NET "sw<3>" LOC = "S:PIN34";
NET "an<0>" LOC = "S:PIN12";
NET "an<3>" LOC = "S:PIN23";
NET "an<2>" LOC = "S:PIN19";
NET "an<1>" LOC = "S:PIN14";
NET "led<0>" LOC = "S:PIN13";
NET "led<1>" LOC = "S:PIN18";
NET "led<2>" LOC = "S:PIN22";
NET "led<3>" LOC = "S:PIN21";
NET "led<4>" LOC = "S:PIN20";
NET "led<5>" LOC = "S:PIN27";
NET "led<6>" LOC = "S:PIN28";
NET "led<7>" LOC = "S:PIN30";
NET "seg<0>" LOC = "S:PIN31";
NET "seg<1>" LOC = "S:PIN33";
NET "seg<2>" LOC = "S:PIN39";
NET "seg<3>" LOC = "S:PIN37";
NET "seg<4>" LOC = "S:PIN36";
NET "seg<5>" LOC = "S:PIN42";
NET "seg<6>" LOC = "S:PIN44";
#PINLOCK_END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.